腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
SystemVerilog
:
放置
接口
的
适当
位置
我想知道是否有一种推荐
的
或标准
的
方式,让人们在他们
的
项目中为设计安排界面。我
的
项目(简化): top--includes----my_pkg.sv--sub1--sub2src( input rdymodport dst( output rdyendinterface 我
的
问题是,我应该使用`i
浏览 16
提问于2020-07-08
得票数 0
2
回答
SystemVerilog
:虚拟模块与虚拟
接口
、
我知道
SystemVerilog
允许您通过将
接口
声明为“虚拟”来保存对
SystemVerilog
类中
的
接口
的
引用。总线,是否也可以将模块声明为“虚拟”,以便在
SystemVerilog
类中保存对模块
的
引用?
接口
的
繁琐,只使用来自
SystemVerilog
类
的
旧
的
verilog-95风格
的
BFM?我只是认为如果你
的
DUT是用VHD
浏览 0
提问于2020-02-29
得票数 0
3
回答
在
SystemVerilog
接口
中modports
的
优点和动机是什么?
我是
SystemVerilog
的
新手。 我不知道在
SystemVerilog
接口
中modports
的
优点和动机是什么?
浏览 3
提问于2016-08-28
得票数 4
回答已采纳
1
回答
在
SystemVerilog
中,虚拟类是否与抽象类相同?
、
、
请帮助我理解
SystemVerilog
.中虚拟类
的
需求 对于抽象类,我们可以在
SystemVerilog
中使用
接口
。这两者有什么不同?
浏览 3
提问于2016-06-07
得票数 0
回答已采纳
3
回答
SystemVerilog
与verilog模块
的
接口
、
我相信
SystemVerilog
在编码中是一个更高层次
的
抽象。是否可以将
SystemVerilog
模块与verilog模块进行
接口
?在尝试集成它们时,它们有哪些方面应该牢记在心?
浏览 2
提问于2014-02-19
得票数 0
2
回答
SVUnit是如何被使用
的
?
我正在寻找在我
的
项目中使用SVUnit
的
理由。作为一名软件工程师,我过去常常在编写产品代码之前编写测试。不过,我看不出有多少人采纳了这一倡议。为什么?值得吗?
浏览 1
提问于2015-06-24
得票数 1
回答已采纳
1
回答
没有Modport
的
接口
SystemVerilog
接口
确实简化了我
的
FPGA设计。它们允许我将许多信号路由到逻辑分组中
的
多个块。我真的很喜欢他们。我将它们与modports一起使用以指示输入/输出方向。在我读过
的
关于
SystemVerilog
的
两本书中,介绍了
接口
,并在modport之前显示了语法。在本章/节
的
最后,介绍了modports作为一种有用
的
接口
使用方式。据我所知,如果modport
的
浏览 0
提问于2017-04-08
得票数 1
回答已采纳
1
回答
为什么在
接口
中使用端口?
SystemVerilog
LRM (IEEE1800-2017)描述
接口
中
的
端口如下: 简单
接口
的
一个限制是,
接口
中声明
的
网和变量仅用于连接具有相同网络和变量
的
端口。要共享外部网络或变量(从
接口
外部建立连接并与实例化
接口
的
所有模块端口形成公共连接),需要一个
接口
端口声明。
接口
端口列表中
的
网或变量与
接口
中
的
其
浏览 11
提问于2022-03-25
得票数 0
回答已采纳
2
回答
带有inout端口
的
systemverilog
接口
的
verilog包装器
、
令我惊讶
的
是,他们将端口完全转换为系统
接口
,这在将此模型连接到Verilog或VHDL
的
混合语言模拟时会产生问题。//
SystemVerilog
Interface wire [7:0] DQ; wi
浏览 50
提问于2020-05-15
得票数 0
1
回答
JavaMail
接口
的
放置
位置
、
我看过一些教程(和我
的
Java手册),我完全搞不懂到底是为mail.jar ( jdk1.7.0 )设置类路径变量更好,还是把mail.jar文件放在JDK1.7.0
的
EXT目录中。这就是大多数教程(使用该方法)所说
的
- C:\Program Files\Java\jdk1.7.0_07\jre\lib\ext 其中一位甚至表示“请注意,在Windows中,为了安全起见,您应该将其同时安装在我是将mail.jar复制到ext目录中,将jar文件复制到lib目录(javamail1-4-7中
的
lib目录)中,还是将与jdk1
浏览 0
提问于2014-11-30
得票数 0
1
回答
如何在顶层模块中引入
SystemVerilog
模块
、
我想使用SysWip AXI4Lite从验证IP (在
SystemVerilog
中)在我
的
顶级测试平台与我
的
传统Verilog AXI4Lite主机。由于对
SystemVerilog
完全陌生,我在toplevel测试平台中
的
端口映射有问题。我所拥有的:我从SysWip下载了axi4lite_s_if.sv (
接口
)和axi4lite_s.sv (包) ()。Verilog AXI4Lite主模块是在dut_top.v中引入
的
。 目标:我想将遗留
的
Ver
浏览 3
提问于2015-03-05
得票数 0
1
回答
如何将
接口
数组端口连接到单个
接口
的
连接上?
、
我有一个模块,作为它
的
端口之一,它有一个未打包
的
接口
数组。我正试图使用赋值模式将它连接到几个不同
的
奇异
接口
,就像简单端口一样。这样做会导致QuestaSim中
的
一个错误: 我写了一个很小
的
例子来说明这个问题。
浏览 3
提问于2017-12-05
得票数 0
3
回答
当应用于
SystemVerilog
接口
时,“虚拟”是什么意思?
、
下面的
SystemVerilog
代码中
的
“虚拟tinyalu_bfm”是什么意思?我很好奇,因为virtual通常
的
oop含义只适用于类或类成员,这里有一个示例,它应用于传递给UVM包中
的
静态函数
的
接口
……我只想知道为什么在这种情况下我需要将它称为virutal,以及它
的
目的是使其虚拟化
接口
对象被声明为well...why?
浏览 1
提问于2020-02-26
得票数 0
2
回答
什么是通用后缀和前缀代码指南?
、
在
SystemVerilog
代码中常用
的
后缀和前缀是什么?我指的是
SystemVerilog
元素
的
代码指南,例如变量、参数、类等。这里有几个我知道
的
: _e - enum_h -变量名,它是对类
的
引用(句柄)。
浏览 2
提问于2013-05-09
得票数 2
2
回答
在
systemverilog
中,我们需要使用'net‘数据类型是什么情况?
据我所知,现在
systemverilog
中
的
“reg”类型可以用于Asase语句。Update1 从这里,我可以找到一个
接口
声明。
浏览 2
提问于2016-02-01
得票数 0
回答已采纳
1
回答
如何将单独文件中
的
VHDL函数调用到C程序中
、
假设我有一个用VHDL编写
的
函数,我想从C中调用它,并在C程序中执行它。我该怎么做呢? 举一个简单
的
例子会很有帮助。我搜索并找到了,但它只显示了如何在VHDL语言中调用C函数,而不是反过来。我能不能把我想要实现
的
VHDL码包含在一个像xilinx这样
的
工具中,这样就可以制作一个设备驱动程序,并且可以把位流下载到driver?.Using来进行硬件配置.So。因为我想要做
的
是用VHDL语言实现C代码
的
一部分,其余
的
用C语言实现,然后把它卸载到FPGA上,然后使用这个项目的
浏览 0
提问于2016-05-19
得票数 0
1
回答
systemverilog
中
的
继承与虚拟
接口
?
、
、
多重继承是非常普遍
的
OOPS概念,那么为什么它没有在
systemverilog
中实现,并且只允许单继承呢? 第二,为什么类内不允许
接口
?是因为类中
的
动态存储和模块、程序、
接口
中
的
静态存储等存储实现
的
原因吗?
浏览 2
提问于2014-12-08
得票数 0
4
回答
在MVC中
放置
逻辑
的
适当
位置
、
$usernameid=$model->random_id_gen('5');是我所说
的
函数。<!) { } }我试图更好地避免“膨胀”我
的
MVC类。提前谢谢各位。 更新我正在寻找一种特定
浏览 2
提问于2011-04-21
得票数 0
回答已采纳
2
回答
红宝石和
SystemVerilog
新闻部
、
、
、
System中
的
DPI功能总是提到您可以与任何语言进行
接口
,其中最常见
的
是C/C++。我想将我
的
系统Verilog代码与Ruby
接口
。对此功能是否有任何文档或支持?有什么已知
的
方法吗?我应该补充一点,我
的
主要目标是从我
的
系统Verilog测试中调用一个ruby脚本。 谢谢
浏览 2
提问于2014-07-18
得票数 4
回答已采纳
2
回答
DDD:
放置
接口
(端口)
的
位置
我知道IRepository要放在domain文件夹中,但是对于其余
的
接口
,比如: IQueryBus,ICommandBus……我应该把它们放在Application文件夹中吗?我见过很多这样
的
示例,其中一些将此类
接口
放在域中,如/ domain /bus/IQueryBus、/domain/bus/ICommandBus,另一些放在/application/bus/IQueryBus我不确定什么是最好
的
选择,为什
浏览 4
提问于2018-06-22
得票数 2
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
广告机对于放置位置的选择分析
IC技术圈期刊 2020年 第08期
利用python3翻译pdf文档系列之一——pdf转txt
接线技巧:确保音频光端机正确连接的步骤
安世 | Nexperia超低结电容ESD保护二极管保护汽车数据接口
热门
标签
更多标签
云服务器
ICP备案
云直播
对象存储
腾讯会议
活动推荐
运营活动
广告
关闭
领券