腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(3063)
视频
沙龙
1
回答
在
Verilog
中
推断
真正
的
双
端口
RAM
(
Xilinx
和
Intel
兼容
)
、
、
、
我试着编写我自己
的
真正
的
双
端口
内存模块,希望它能被
推断
为BRAM:
在
Vivado
中
运行合成后,报告
中
写道: WARNING: [
浏览 117
提问于2020-02-20
得票数 1
回答已采纳
1
回答
在
Altera FPGA上
的
J1 Forth处理器上用两个单口
RAM
代替
双
口
RAM
、
给出了
在
Xilinx
FPGA上工作
的
奇妙
的
J1 Forth CPU ()。我正试着把它移植到Altera Cyclone II FPGA上。
真正
的
问题是,J1 Forth会在运行时
浏览 0
提问于2013-10-15
得票数 2
1
回答
如何在火箭芯片生成器
中
创建内存,综合后将其映射为FPGA
中
的
块
ram
?
、
、
我尝试使用Mem(1024,UInt(width=xLen));但在
Xilinx
vivado.The memory
中
合成生成
的
verilog
文件后,将其映射为分布式
ram
。理解
和
编辑生成
的
RTL文件真的很难。有没有任何明确
的
方法来定义内存,它可以
推断
为块
ram
。 感谢
和
问候,
浏览 12
提问于2020-11-02
得票数 1
4
回答
如何在Ubuntu上使用
Verilog
HDL?
我试着用维里罗格
中
的
一些例子开始一门小课程,
在
花了很多时间寻找最好
的
模拟器或IDE来练习一些例子之后,我没有弄清楚如何在Ubuntu12.04上使用它。所以,我有两个问题:Linux中最好
的
模拟器(或IDE)是什么?
浏览 0
提问于2012-11-15
得票数 10
回答已采纳
2
回答
Xilinx
双
口
双
端口
RAM
、
、
、
、
我试图使用以下配置
在
Verilog
中
构建一个
RAM
块:
端口
A: 128位宽,与clk_a一起,将
RAM
块看作128位宽乘以128行深
端口
B: 32位宽与clk_b,将
RAM
块看作32位宽乘以512行深不要担心读写序列化
和
互斥,我会处理它上面的一层。32位乘512深,我如何重构这个内存看起来不同(有点像在C
中
重铸)?我知道我也许可以用32位字启用来完成这个任务,但我想看看是否有一种更干净
的
方法来实现
浏览 5
提问于2012-04-30
得票数 1
1
回答
10821 HDL错误-将VHDL代码从Xlinx移植到Altera
、
、
我试图
在
Quartus II上合成一个描述
RAM
块
的
VHDL代码。但是这个代码是为Xlinx芯片合成
的
。std_logic_vector(3 downto 0);end RAMB4_S4_S4; 代码
中
给我带来麻烦
的
部分是infer register for "DATA[0]" because its behavior does not match any su
浏览 1
提问于2018-04-15
得票数 0
回答已采纳
2
回答
xilinix vivado:使用
Verilog
/VHDL属性标签
推断
FREQ_HZ
、
假设我使用
的
是
xilinx
Vivado,其中包含以下
verilog
代码,我使用insert模块将其插入到块设计
中
: module vivado_amm_ip #( output wire m_amm_aclk, (* X_INTERFACE_INFO = "
xilinx
.comoutput wire [lw-1:0] m_amm_bc
浏览 555
提问于2020-09-24
得票数 0
1
回答
在
Xilinx
中使用
Verilog
描述硬件内存时,如何修复警告“HDLCompiler: 1007 - Element index 7 into memp is out out”?
、
、
、
我有以下关于
双
端口
RAM
内存
的
硬件描述:(CLK, RAMEnableLSBend elseend endmodule 当我
在
Xilinx
ISE 14.7
中
浏览 0
提问于2015-09-12
得票数 0
1
回答
如何在Quartus
中
填充
双
口ROM
、
、
、
下面是我
的
只读存储器,我
在
一个.txt文件中有二进制值,但我不确定如何用这些值初始化只读存储器。
浏览 46
提问于2020-07-20
得票数 0
回答已采纳
2
回答
用VHDL实现FPGA
中
RAM
的
实例化
、
我试图
在
中
实现一个
双
口
RAM
。但是,ModelSim在编译时发出以下警告:use ieee.std_logic_
浏览 4
提问于2015-08-05
得票数 3
回答已采纳
2
回答
如何实现inout参数?
、
、
假设我们有一个inout参数io,并希望创建一个双向静态
RAM
,如以下代码:USE ieee.std_logic_1164.ALL; 我们可以创建一个sram实例并在其上写入如下代码:问:综合工具如何控制多个任务,判断多个驱动程序之间
的
关系实现
的
硬件是什么? 谢谢你
的
评论
和
回答.
浏览 1
提问于2015-01-02
得票数 4
回答已采纳
1
回答
8位ALU第46行:不能简化操作符DIV
、
大家好,我只想问一下如何调试这个
在
第46行
中
说
的
“不能简化操作符DIV”。
在
Verilog
模块(
Xilinx
)。预先谢谢你
的
提示
和
帮助:) 这是我
的
8位ALU
的
代码input [3:0] a,
浏览 0
提问于2021-03-18
得票数 0
回答已采纳
3
回答
系统
Verilog
初始进程编译错误
、
初始化存储器阵列
的
典型方式是
在
“初始”过程中分配初始值。但是编译器抱怨说,我不能在"mem“上有两个驱动程序,这是由always_ff/always_comb/always_latch进程执行
的
...255]; begin end me
浏览 2
提问于2015-02-25
得票数 0
2
回答
用块图实现VHDL
中
的
逐位矩阵转置
我一直
在
尝试找出一种使用块
ram
(或类似的)
在
VHDL中转置大量数据
的
好方法。 使用向量
的
向量相对容易,但是对于大量
的
数据,它会变得棘手。我想使用双通道区块
ram
,以便我可以写入一个区块并读出另一个区块。写入8位std_logic_vectors,读出32位std_logic_vectors,其中32位是输入向量0- 31
的
最高有效位(至少第一次旋转),然后是32 - 63,一直到294911,然后是最高有效位上面描述
的
情况是我<e
浏览 0
提问于2012-10-26
得票数 1
回答已采纳
2
回答
如何用Vivado原理图创建缓冲门?
、
、
我第一次用Vivado学习
Verilog
。我正在尝试用它来创建简单
的
缓冲门。 下面是我尝试过
的
代码。第一个是名为'inv.v‘
的
Design Source文件。我想要
的
是只创建一个缓冲门,如上图所示。有人知道是怎么回事吗? 附注:我使用
的
是Vivado 2017.3 HLx版。
浏览 78
提问于2021-09-13
得票数 0
4
回答
从命令行使用Quartus
、
、
我需要在一个项目中比较不同工具为数千个生成
的
Verilog
文件生成
的
合成结果。因此,使用GUI并不是一个
真正
的
选择。例如,
在
Xilinx
Vivado
中
,我可以使用以下TCL命令来完成此操作:synth_design -part xc7k70t -top top_module使用
Xilinx
XST就有点复杂了:run -ifn work
浏览 6
提问于2013-07-03
得票数 5
回答已采纳
3
回答
VHDL:用同步读取正确
推断
单个
端口
ram
的
方法
、
、
什么是正确
的
,为什么要
推断
一个单一
的
端口
ram
与同步读取。让我们假设我
的
VHDL
推断
内存
的
接口是:use ieee.std_logic_1164.all;从
Xilinx</
浏览 0
提问于2019-08-09
得票数 3
回答已采纳
3
回答
Xilinx
ISE块内存推理
的
鲁棒性
、
、
我有一个关于
Xilinx
ISE块
ram
推断
的
健壮性
的
问题。我没有
在
我
的
机器上安装
xilinx
ise (今天),但我通常会使用专门
的
编码完美地
推断
块rams,基本上依赖于:我
的
问题是:您能否告
浏览 0
提问于2011-02-01
得票数 2
回答已采纳
2
回答
rocketchip_wrapper.v
中
的
系统模块
我指的是fpga
中
的
rocketchip_wrapper.v -zynq/common/对其进行某些修改,并为新
的
fpga定制它。
在
第136行上,正在对接模块系统(系统system_i (...))。这个系统模块在哪里定义
的
? 另外,AXI模块是从某个地方(ip核)导入
的
,还是完全
在
设计
中
定义
的
?
浏览 4
提问于2015-07-04
得票数 0
4
回答
如何在
Verilog
中
初始化
推断
块
RAM
(BRAM)
的
内容
、
、
、
在
Verilog
中
,我无法初始化
推断
出
的
ram
的
内容。内存
的
代码如下所示: input clock, // System clock); reg [13:0] <e
浏览 4
提问于2016-04-13
得票数 9
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
一份详细的初学者学习路线奉上
FPGA、DSP、ARM比较
西门子宣布推出新一代IOT2050数据网关
如何高效的编写Verilog HDL——进阶版
Chisel是什么
热门
标签
更多标签
云服务器
ICP备案
云直播
对象存储
腾讯会议
活动推荐
运营活动
广告
关闭
领券