腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(5879)
视频
沙龙
1
回答
systemverilog
always_comb
构造
不是
纯粹
的
推断
import rv32i_types::*; ( input [31:0] a, b,); out = 1'b0;end 每当我运行这个程序时,它都会说
always_comb
构造
不能
推断
出
纯粹
的
组合逻辑,这是什么问题?
浏览 6
提问于2018-09-05
得票数 0
1
回答
SystemVerilog
:
always_comb
构造
不能
推断
纯粹
的
组合逻辑
、
、
、
我有这个FSM,它控制我正在构建
的
游戏(在Quartus Prime Lite Edition17.0中)中
的
不同事件。当我试图编译它时,我得到了这个错误: else begin /
浏览 141
提问于2020-06-03
得票数 1
回答已采纳
2
回答
关于由"case“语法生成
的
闩锁
、
、
我理解在
systemverilog
中使用case语法时,我们需要充分描述所有的组合,或者添加一个默认值以避免闩锁。下面是我
的
示例代码,没有生成闩锁:input logic[2:0] op,); begin: 警告(10240):Verilog总是在ALU.sv(16)处
构造
警告:为变量"Carryout“
推断
锁存器(Es),该变量在一个或多个路径中通过始终
构造
保持其
浏览 0
提问于2015-06-22
得票数 1
回答已采纳
1
回答
Verilog generate语句始终具有@(*)块
、
我有这个generate块,在下面我认为应该可以工作,但是我看到了always @(*)部件在else块下
的
问题。当使用VCS时,temp_in[i+1][j]总是被分配'x‘。如果我实例化了一个模块/门,而
不是
总是块,就像我对if部件所做
的
那样,那么它就能正常工作。谷歌搜索正确
的
语法使用foreach,generate,始终,如果在一个块内,不会产生任何有用
的
结果。我知道修复是一个微小
的
变化,但我并不那么熟悉所有的语言结构,所以我会感谢任何帮助。 ceil()是一个
浏览 2
提问于2015-08-28
得票数 1
回答已采纳
1
回答
如何在使用
SystemVerilog
构造
的
always_comb
模块中正确地实现时间延迟?
、
、
、
我希望有人能解释如何在
SystemVerilog
中正确地实现组合函数
的
门延迟。为什么在总是块而
不是
always_comb
中使用#(1)来实现时间延迟是可以
的
呢?下面的代码给出了这个错误。语句在
always_comb
中不应包括那些块、具有阻塞定时或事件控件、>或forkjoin语句
的
语句。但是如何模拟传播延迟并保留
always_comb
呢?我试图通过添加.在这个模块中实现一个指定块。但是只有当我把a和b
的
类型从逻辑改为连线
浏览 2
提问于2020-03-25
得票数 0
1
回答
为什么iverilog会为always_ff生成语法错误?
、
、
posedge clk) begin end使用iverilog -g2012 test.sv编译时,将为第5行(always_ff)生成一个简单
的
syntax-error我不知道为什么会发生这种情况,因为我
的
语法似乎是正确
的
。
浏览 2
提问于2020-11-06
得票数 1
回答已采纳
2
回答
警告:为变量'w_addra_t‘
推断
锁存器(在Verilog/
SystemVerilog
和for循环中)
、
、
当我设计一个简单
的
双口RAM块时,我有一个
推断
的
锁存问题。end w_addra_t[bank_addra[i]] = w_addra[i]; end我
的
内存块包括银行
的
各输入数据
的
地址存储在w_addra中。 当w_addra =0时,具有给定w_addra_t地址
的
数据被加扰到w_addra_t中,这取决于各个bank_addra
的
值(取决于访问
浏览 10
提问于2021-01-14
得票数 0
回答已采纳
4
回答
具有
always_comb
构造
的
Systemverilog
问题
、
我对这个
SystemVerilog
代码有问题。fim: state <= inicio; endcaseend endm = 1; endcaseendmodule 我正在尝试用Booth
的
算法写一个输入32位
的
乘法器和64位
的</
浏览 1
提问于2011-05-19
得票数 2
1
回答
如何在
SystemVerilog
中建立可综合
的
指令存储器?
、
、
我正在
SystemVerilog
上设计一个MIPS处理器。goto (*) };但是这个代码并不将合成为‘黑匣子’/独立模块等等,顶层模块看起来是:“核心,数据内存,<一堆东西,而
不是
内置内存,但是合成也是一样
的
。 如何制作一个可综合
的
指令存储器?顺便说一句,有什么指南可以用来编写可合成
的
代码吗?
浏览 6
提问于2021-05-26
得票数 0
2
回答
约简操作符不能正常工作。
、
、
、
、
我将在代码中提供我
的
buggy部分。我在verilog很新,所以希望这是一个很容易抓住
的
问题。else end endcase 巴格地区
的
指示与评论
浏览 2
提问于2015-11-10
得票数 0
回答已采纳
1
回答
系统Verilog模块
的
不同实例具有不同
的
行为
、
、
1'b1) begin stepp = 1'b1;end end 上面的代码来自一个在设计中实例化了8次
的
动态寄存器模块我已经在多个工具上看到过这种情况,所以我不认为这是工具
的
错。谢谢
浏览 0
提问于2021-09-02
得票数 0
1
回答
SV代码:如果
always_comb
构造
中
的
语句不能
推断
纯粹
的
组合逻辑
、
、
、
我找不出这个错误
的
解决方案,而我在网上找到
的
唯一解决同样错误
的
答案是 --我在这个问题上被困了一段时间了,我觉得我在原地打转。我不知道我在跳什么。错误指向第一个if语句
的
行。我尝试过多种方法,比如在
always_comb
块之外添加assign,以及上面链接
的
前一篇文章中
的
其他建议,但是我遇到了更多错误。任何帮助都是非常感谢
的
。output logic [7:0] pc, ac, state, mdr, opcode, value, address);
浏览 17
提问于2022-11-21
得票数 1
2
回答
System always_latch vs. always_ff
、
、
我对语句always_ff和always_latch
的
用法感到困惑。前者将被用作:beginendalways_latch a <= b;第一个被时钟
的
正边缘激活使用带有阻塞分配
的
always_comb
不是
更好吗?
浏览 21
提问于2015-07-01
得票数 2
回答已采纳
1
回答
我
的
测试平台总是将X显示为输出
、
、
、
我不能识别错误,但所有的代码在逻辑和语法上似乎都是正确
的
。测试平台中sum和carry
的
值始终为X。d 100; b = 16'd 100; endmodule 如果能帮上忙我会很感激
的
。
浏览 25
提问于2021-06-21
得票数 2
2
回答
Verilog中使用If/Else和Case语句
的
错误号10170
b1001: {out3, out2, out1, out0} = 4'b0110; //9->6 }end在case语句中找到
的
每一行代码都会重复最后一个错误
浏览 2
提问于2016-04-25
得票数 0
1
回答
always和initial
的
区别
我有这个测试来计算一个字节中
的
1。如果用于计算1
的
数量
的
always块是以tb为单位
的
,它将不会工作,但当它移动到一个模块时,它可以工作。
浏览 46
提问于2021-05-04
得票数 0
1
回答
SystemVerilog
:从一个结构向量中获取一个向量,该向量收集每个结构
的
一个字段
、
、
我有一个结构
的
向量,我想以一种优雅
的
方式访问所有元素
的
一个字段。我
的
代码看起来有点像这样(我展示了一个非常简化
的
版本),其中example.valid是我想要获取
的
向量。
浏览 15
提问于2021-08-12
得票数 0
3
回答
推断
的
锁存器是什么,以及当if条件中缺少else语句时如何创建它。有人能简单解释一下吗?
我试图找出
推断
的
闩锁,以及为什么内部需要它,但我找不到任何足够详细
的
资源。
浏览 1
提问于2014-03-18
得票数 13
2
回答
always_comb
中
的
SystemVerilog
'if‘语句'not纯组合逻辑’错误
、
我花了相当多
的
时间在Modelsim中
的
一些
SystemVerilog
上。我让它到了一定
的
阶段,可以在我
的
硬件上测试它,但是在Quartus中编译并不成功。我确实知道这可能会发生,但在这种情况下,我
的
错误似乎没有意义。Error (10166):
SystemVerilog
RTL Coding error at fifo_interface.sv(80):
alway
浏览 0
提问于2015-09-30
得票数 2
回答已采纳
2
回答
SystemVerilog
/Verilator宽度参数和case结构超出界限
的
索引
、
我目前正在
SystemVerilog
中使用描述
的
LFSR实现PRNG。宽度应该是使用参数可变
的
。我得出了以下
的
构造
:#( parameter SEED = 1( inputupdate,);
always_com
浏览 9
提问于2020-01-21
得票数 0
回答已采纳
点击加载更多
相关
资讯
郭台铭:我们不是纯粹的制造企业
迅鳐成都夏琦:区块链不是纯粹的技术,而是一种“链”上一切思维
富士康21亿元人民币投资AI 郭台铭:富士康不是纯粹的制造企业
用Python编写FPGA以太网MAC
Chisel是什么
热门
标签
更多标签
云服务器
ICP备案
实时音视频
云直播
对象存储
活动推荐
运营活动
广告
关闭
领券