我在Quartus II版本14.1中编写了以下代码:
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY light IS
PORT ( x1, x2 : IN STD_LOGIC ;
f : OUT STD_LOGIC ) ;
END light ;
ARCHITECTURE LogicFunction OF light IS
BEGIN
f <= (x1 AND NOT x2) OR (NOT x1 AND x2);
END LogicFunction ;
但是在计时模拟时,我得到了
iam当前在Quartus II v12.0中使用NIOS II
由于Nios II使用C语言,它将被允许打开文件,但命令fopen("filename","readmode");不可用,因为它不在Nios的stdio.h上,所以我的疑问是,如何在Nios中打开文件?我需要使用一个新的库吗?我必须将我的代码块stdio.h改为我的Nios代码块吗?
现在谢谢了。
我对VHDL非常陌生,我想得到一些帮助。您看,我们的教练告诉我们编码二进制的除法(首先将二进制转换成整数),如果除数为零,那么输出误差波形将在仿真中显示。这是我的代码:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity division_in is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
B : in STD_LOGIC_VECTOR
我在某些Nios II代码中遇到了一些问题,我试图使用运行在Windows 7下的Quartus Web 13.1运行。
我看到的问题之一是,如果我以管理员身份启动Nios II shell,然后运行"df“命令,则不会看到我的samba共享被挂载。当我以普通用户(碰巧也是管理员)的身份运行shell时,我会看到这些共享,并且可以很好地访问它们。
Nios II shell使用cygwin bash shell。当我以管理员的身份运行shell时,是否需要做一些cygwin设置来使共享显示出来?
嗨,你们中有更多VHDL Quartus II经验的人,请在这个问题上给我设置一下。我有以下实体和行为架构的D触发器设置和重置.
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY Q1 IS
PORT (D,R,S,CLK : IN std_logic;
Q : OUT std_logic
);
END ENTITY Q1;
ARCHITECTURE behavioural OF Q1 IS
BEGIN
D_FF : PROCESS (CLK,R,S)
我试图模拟一个非常简单的.vo文件,即quartus编译的输出,我附加了我的代码、.v文件和quartus输出.vo文件。模拟模型采用-altera.试运行后,屏幕上出现了跟随错误。我怎么才能解决这个问题?
谢谢
CA.v:
module CA (input a , b, output c);
assign c = a + b;
endmodule
CA.vo:
// Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
// Your use of Altera Corporation's desig
在Quartus II状态机中,我有一个8位的输入。在一个状态到状态的转换中,我想检查输入的低4位是否为例7
我添加到转换条件中的代码
input[3:0] == 7
但每次我想在中编写vhdl时,都会出现这个错误:
HDL file generation was NOT successful, Error (154013): Component 01 contains an illegal name character combination
我不知道我是否可以通过这种方式进行检查,我对verilog编码了解不多,我正在使用图表
我试图为一个学术项目创造一个展位倍增器,我犯了一个最奇怪的错误。不确定这是否可以追溯到Quartus II,还是与VHDL有关。
每次我试图分析和详细说明下面的代码时,这个过程将无限期地冻结(我已经运行了一个小时),在分析和综合阶段的46%,在控制台中最后一行是12127 Elaborating entity "booth_mul" for the top level hierarchy,没有任何其他特别的警告或注意到的错误(只是通常的(found x design units,...) )
ARCHITECTURE booth_mul OF booth_mul IS
BEGI
我正在尝试模拟RAM类型的存储器,输入和输出显示在同一行和功能,并通过3个控制引脚选择。ceN用于使能器件(否则输出为高Z),weN用于使能写入随机存取存储器,oeN不使能输出到data_io矢量。这些控件都是有效的低位类型。
这通过了Quartus II下的编译,但不能很好地模拟Modelsim或Quartus的内置模拟。
library ieee ;
use ieee.std_logic_1164.all ;
entity ram1 is
port ( address : in integer range 0 to 255 ;