Jersey 2.4.1为我们提供了启用固定长度流的能力。这在上传大文件时非常有用。用于启用此功能的新客户机属性是: HTTP_URL_CONNECTOR_FIX_LENGTH_STREAMING。启用固定长度流可以解决此问题。
不幸的是,当请求中未指定content-length标头(或设置为0)时,此属性不受欢迎。我的问题是为什么?Jersey运行时试图通过设置此限制来防止什么问题?
所以,我必须创建一个带进位和执行的通用N位加法器。到目前为止,我已经建立了两个完全工作的体系结构,一个使用generate函数,另一个使用rtl描述,如下所示:library ieee;use ieee.numeric_std.all;
generic (N: integer:=8); a,b: in std_logic_vector(0 to N-1); s: out std_logic_vector(0 to