腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
VHDL
:
使用
泛
型
有条件
地
实例
化
组件
我想
使用
在命令行上设置的
泛
型
来
有条件
地
实例
化
组件
。我更喜欢
使用
字符串作为
泛
型
(即fast或slow),而不是数字。 我在stackOverflow上找不到任何这样的例子,所以我想我应该问一下。
浏览 5
提问于2019-07-16
得票数 1
1
回答
使用
VHDL
泛
型
选择实体
我有一个
VHDL
语言设计,有两个架构,分别是fast和slow。我希望能够在模拟/细化时
使用
命令行和
泛
型
在它们之间进行选择。我不知道如何选择配置,即
使用
VHDL
泛
型
实例
化
具有我想要的体系结构的
组件
。 有没有办法做到这一点,或者我是否需要
使用
generate语句并硬编码所选的体系结构?
浏览 0
提问于2019-07-16
得票数 1
1
回答
泛
型
记录(试图通过
vhdl
2008通用程序包)
我想为
组件
C编写一个库,该
组件
在内部被分成两个超级
组件
c1和c2,它们是由
泛
型
配置的。子模块应该由一个记录连接,这取决于
泛
型
。记录也应在
组件
中
使用
。通常,我会在package中
实例
化
记录,并在子
组件
的文件中和
组件
的文件中
使用
包。因为它是通用的,所以我认为
使用
泛
型
包(
VHDL
-
浏览 2
提问于2013-04-17
得票数 2
回答已采纳
1
回答
在类型
泛
型
中
使用
记录
我正在努力
使用
泛
型
来定义
组件
接口(port)的记录。在一个较老的问题中,我曾被指出
使用
类型
泛
型
。然而,我不知道如何访问字段的记录。是一个答案,被
使用
的记录类型为
泛
型
是推广的。entity; beginend architecture; 这个
实例
化
浏览 2
提问于2013-05-28
得票数 0
2
回答
用包含std_logic的
泛
型
在Verilog中
实例
化
VHDL
、
、
; else rx_sync_tmp <= rx_i; end
VHDL
std_logic; ); 但是,如果我试图用verilog
实例
化
它clk_i, rx_i, ); Altera II
浏览 2
提问于2015-08-26
得票数 2
回答已采纳
4
回答
条件UCF语句或条件UCF文件包含
、
、
有条件
地
使用
UCF文件中的语句吗?或者,UCF文件可以
有条件
地
包含在其他UCF文件中吗?我面临的问题是,我有一个带有一组
泛
型
的top模块,它通过generate语句
有条件
地
实例
化
或删除顶层模块中的某些子模块。 然而,这些子模块中的大多数都在项目UCF文件中定义了时间约束。在map或par的某个地方,构建过程被中止,说明UCF文件所引用的
实例
不存在(这是正确的,因为由于在顶层模块中选
浏览 0
提问于2013-10-14
得票数 3
回答已采纳
1
回答
当Verilog模块在
VHDL
模块内
实例
化时,参数重写
、
、
我们的模拟器允许
VHDL
/ Verilog混合,我们的设计
使用
用
VHDL
编写的IP (否则,我们的设计主要是Systemverilog)。我们遇到了问题,因为参数重写不能正常工作,我们从模拟器的文档中找到了以下语句: 默认情况下,当在
VHDL
设计单元内
实例
化
Verilog模块并完成默认绑定时,
VHDL
泛
型
将
使用
位置映射映射到Verilog这是说
VHDL
泛
型</e
浏览 0
提问于2018-07-31
得票数 0
1
回答
如何处理代码覆盖中的
VHDL
泛
型
、
、
在测试用
VHDL
编写的数字体系结构并针对100%代码覆盖率时,我真的不知道如何处理通用输入。 在我的例子中,我有一个具有
泛
型
依赖行为的单一
组件
,被
实例
化了两次。对于这个
泛
型
,
实例
有不同的('0‘和'1')值,这意味着也不能是另外的。因此,我的代码覆盖率不能是100 %(一个
实例
的
泛
型
值总是'1‘,而另一个
实例
的
泛
型<
浏览 1
提问于2016-04-04
得票数 2
3
回答
如何
使用
Modelsim中的-g交换机将多个
泛
型
传递给vsim?
、
、
我试图
使用
vsim命令中的-g开关将多个
VHDL
泛
型
传递给Modelsim 10.7b中的testbench。如何传递多个
泛
型
,其中所有
泛
型
都在另一个字符串/文件中定义。宽度不是得到值2,而是保留在实体初始
化
的值。sim:vmap work workset generics "-gHEIGHT=1 -gWIDTH=2"
使用
-g开关成
浏览 6
提问于2019-12-02
得票数 0
回答已采纳
1
回答
在执行中更新
VHDL
通用映射值
这可能很简单,但自从我涉足
VHDL
以来已经有一段时间了,但我正在尝试弄清楚如何在
实例
化
之后、当事件发生时正确
地
更改子
组件
的
泛
型
值。begin我不是在代码前面,但我尝试过
使用
浏览 0
提问于2017-07-01
得票数 1
1
回答
在通用列表中声明常量合法吗?
、
、
在
VHDL
中这样做是合法的吗?signal my_signal : std_logic_vector(MY_CONST-1 downto 0); ...请注意,我在
泛
型
列表中声明了一个常量,并且在体系结构中也
使用
了这个常量。我希望常量是局部的--不能从实体
实例
化
的外部世界访问。
浏览 6
提问于2022-11-30
得票数 0
回答已采纳
1
回答
在
VHDL
报告中
使用
泛
型
字符串
、
、
、
当我在
VHDL
中
使用
report语句时,我希望字符串输出依赖于我可以在
实例
化
级别声明的
泛
型
。我可以和如何做到这一点吗?然后,我在架构中实现了:我知道这不起作用,那么如何将
泛
型
作为报告输出的一部分呢?
浏览 1
提问于2013-03-08
得票数 0
3
回答
将T作为参数传递给在Java中
有条件
创建
泛
型
、
、
我试图在Java中
有条件
地
创建一个
泛
型
实例
,其中类型作为参数传递。如果T是一个字符串,则
实例
化
一个ArrayList<String>。如果它是Foo,则在内部
实例
化
一个ArrayList<Foo>。 请帮帮忙
浏览 5
提问于2014-03-01
得票数 4
回答已采纳
1
回答
通用记录(
vhdl
2008)
我正在努力实现一个实体,它的端口依赖于
泛
型
包,而
泛
型
包又依赖于实体的
泛
型
。诀窍是我想要有一个端口的记录。 port( p1 : in myInstance.genericRecord )有没有用
VHDL
2008
浏览 0
提问于2013-04-29
得票数 1
回答已采纳
1
回答
“高/低”的函数重载
我的许多
VHDL
语言设计都依赖于"for ___ generate“循环,在这些循环中,我
使用
generate来
实例
化
具有
泛
型
的
组件
。通常,这些
组件
的端口宽度取决于在生成循环中传递给它们的
泛
型
。在这些
组件
块中,我经常需要在信号赋值和逻辑中
使用
属性(例如my_sig'high和my_sig'low)。这意味着如果我的信号名称很长,这个技术就会变得非常麻烦
浏览 1
提问于2019-10-24
得票数 0
2
回答
如何
使用
case编写顺序
组件
下面的代码没有编译。我如何修改它才能使其正常工作?谢谢。when '0' =>when others =>end case;
浏览 1
提问于2015-04-26
得票数 0
2
回答
在
vhdl
中可以有
泛
型
类型吗?
、
、
、
、
在
VHDL
中有没有一种方法可以拥有
泛
型
类型?举个例子,我想调用一个过程,但是我不确定我想给出什么类型的信号作为参数,是否可以将参数声明为
泛
型
?就像在C++中一样,你可以
使用
一个模板。else end if;end checker; 至少应该可以
使用
不同的信号类型作为
浏览 0
提问于2013-03-13
得票数 4
回答已采纳
1
回答
如何重用一个实体来处理不同的
组件
我是
vhdl
的新手,想知道管理以下情况/模式的最好方法是什么: 假设我有一个实体A,它的体系结构
实例
化了一个
组件
B。然后我想重用A,但这次要
实例
化
一个
组件
C来代替B。C的功能与B完全不同。B和C可能有不同大小的端口,但是A的功能是这样的:它可以处理不同的端口大小,比如
使用
泛
型
和生成语句。本质上,A类似于
组件
B、C或D、E、F等的容器。它可能会以所有这些
组件
通用的方式对B、C等的输入和输出执
浏览 2
提问于2017-07-31
得票数 0
1
回答
将数组从系统verilog传递到
VHDL
、
、
、
、
我有一个
VHDL
代码,它需要一个元素数组作为
泛
型
。
VHDL
中的
泛
型
与verilog中的参数相同。 parameter real COEFF[8:0] = '{0,0,1,1,2,-2,1,-2,1};
vhdl
_entity #( ) 我得到以下错误,41-
浏览 3
提问于2014-06-03
得票数 0
回答已采纳
1
回答
为什么
使用
条件运算符会导致交类型?
我正在尝试创建一个
泛
型函数,它根据参数的类型
有条件
地
返回值,但我不得不尝试实现返回类型。假设有一个类型的Basket Fruit: 'banana',} 现在,如果我想根据传递给函数的参数
有条件
地
返回“香蕉doesn't compile -- Type '"tomato"' is not assignable to type
浏览 0
提问于2019-09-04
得票数 4
回答已采纳
点击加载更多
相关
资讯
如何在Java程序中使用泛型
Java核心技术 PDF 高清电子书
泛型是什么-JAVA成长之路
Java中的泛型变量定义与使用详解
封装网络请求之-通过Gson转换多级泛型
热门
标签
更多标签
云服务器
ICP备案
实时音视频
对象存储
即时通信 IM
活动推荐
运营活动
广告
关闭
领券