我试图用VHDL编写一个4位计数器,根据我的UD变量的值从"0000“到"1111”或者从"1111“到"0000”(如果UD='1‘它应该向下计数,如果它是’0‘up)。还有一个信号RCO_L,当我的计数器到达计数器(0或15)的一侧时,它得到值=‘0’。最后,当计数器设置为1时,有一个ENP_L信号会抑制它。
我发现很难编写代码,因为我对VHDL有点陌生,而且我遇到了很多错误。如果有人能帮我,我会很感激的。
这就是我到目前为止所做的:
*entity contador is
Port ( A : in STD_LOGIC_VECTOR(3
我有一个makefile,我想在正确的库中编译我的每个vhdl文件。这是我的密码:
$(DEBUG)for core_lib in $(CORE_LIB_LIST); \
do for core_lib_src_vhd in $($$core_lib.VHDL_SRC_FILES_LIST); \
do $(COMPILER_VHDL) $(CC_VHDL_OPTIONS) $(COVER_OPTIONS) -work $$core_lib $(BLOCK_PATH)/cores/$$core_lib_src_vhd; \
don
我是VHDL的初学者。我正在使用GHDL来实现我的VHDL代码并对其进行测试。我为XOR Gate写了一个简单的VHDL行为代码。我正在尝试编写一个测试平台来测试XOR Gate。 XOR VHDL代码: library ieee;
use ieee.std_logic_1164.all;
entity XORGate is
port(
xora, xorb : in std_logic;
xorout : out std_logic
);
end XORGate;
architecture behave of XORGate is
begin
process(xo
我试图使用‘浮点和定点包’作为我的过滤器设计的一部分,在VHDL。我正在使用Altera作为开发环境。我从网站:下载了文件包,现在可以在上找到。
在其用户指南中提到了以下内容:
'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".
但是,我不知道如何使用Altera工具将上述*.vhdl文件编译到库中。
任何提示都将不胜感激。
我正在用累加器编码一个4位二进制加法器:
library ieee;
use ieee.std_logic_1164.all;
entity binadder is
port(n,clk,sh:in bit;
x,y:inout std_logic_vector(3 downto 0);
co:inout bit;
done:out bit);
end binadder;
architecture binadder of binadder is
signal state: integer range 0 to 3;
s
测试VHDL代码逻辑的标准方法是用VHDL编写一个测试平台,并使用像ModelSim这样的模拟器;我已经做了很多次了。
我听说,工程师们现在使用Python来测试VHDL代码,而不是用VHDL编写测试平台。
问题:
这是怎么做的?
- Is this done by writing a test bench in Python and then compiling this Python file or linking into Modelsim?
- Is this done in Python using a module like myHDL and then linking
当我使用vim或neovim编辑vhdl文件时,“if- or -end if;”语句永远不会正确自动缩进。当我输入“if然后”并输入时,如下所示:
if xxx then
|
这很好。然后我不停地输入“end”和一个空格,看起来就像:
if xxx then
end |
在我通过输入“if;”来完成这个语句之后,它看起来如下所示:
if xxx then
end if;
那我怎么才能解决这个问题?
文件类型为/usr/share/vim/vim82/indent/vhdl.vim. vhdl ,vim版本为8.2,由vim加载的实现vhdl缩进的脚本为。