腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
在
modelsim
上
运行
一段
verilog
代码
时
的
奇怪
行为
、
、
、
、
在
ModelSim
中
运行
这段
Verilog
代码
时
,我遇到了一个问题。我已经建立了一个可以计算毫秒数
的
电路。该电路(模块数器)由两个模块组成:一个电路接收5 5MHz频率时钟信号(模块计数器),并且假定每通过5000cct就产生一个高逻辑(相当于1ms,因此
在
每毫秒之后产生高输出),以及另一个电路接收相同
的
时钟信号并对经过
的
毫秒数进行计数此外,第二电路接收负载信号(当激活
时
,负载产生低逻辑)。我<em
浏览 23
提问于2020-11-20
得票数 1
回答已采纳
4
回答
Verilog
&A&
Verilog
;它们是一样
的
吗?
、
Verilog
-A和
Verilog
一样吗? 因为当我
在
ModelSim
上
粘贴一些
Verilog
的
源
代码
时
,总会有一些不能删除
的
错误。
ModelSim
能
运行
Verilog
吗?
浏览 8
提问于2014-05-11
得票数 2
1
回答
警告:(vsim-7)无法在读取模式下打开readmem文件"mem_content_01.dat“
、
、
我试图
在
ModelSim
中
运行
一个测试模拟,并在标题中得到错误。我已经仔细检查过了,文件和我
的
项目
在
同一位置,并且名称匹配得很好。有人知道问题出在哪里吗?请让我知道,如果有某些部分
的
代码
,你需要看到或任何类似的。
浏览 0
提问于2015-04-01
得票数 3
回答已采纳
2
回答
为什么
在
verilog
中使用typedef时会出现语法错误?
、
然后,当我使用"typedef“
时
,会得到一个语法错误。
浏览 1
提问于2014-02-24
得票数 2
回答已采纳
1
回答
当使用-c标志交互
运行
modelsim
时
,如何关闭过多
的
缓冲?
、
在
运行
带有-c标志
的
modelsim
时
,如何关闭控制台打印
的
过度缓冲?示例:VSIM> source run.do run.do只是一个编译设计并
运行
10毫秒
的
modelsim
脚本。当它点击脚本中
的
vsim命令
时
出现问题,所有的输出都被缓冲,直到我按下Ctrl-C或者我等待几分钟以完成模拟,而不显示
在
模拟过程中发生
的
$display。(它很好
浏览 1
提问于2017-11-07
得票数 0
2
回答
如何使
Modelsim
运行
另一个应用程序
、
、
我正在设计一个使用
modelsim
学生版本
verilog
的
mips处理器,我们开发了一个c++工具,它可以将组装操作转换成机器
代码
,并将结果保存在.txt文件中,那么
在
模拟开始
时
,有什么方法可以让
modelsim
运行
这个工具呢?
浏览 2
提问于2017-12-02
得票数 0
回答已采纳
1
回答
ModelSim
编译器与Quartus不同
、
、
、
这几天我用
ModelSim
做模拟,我遇到了一个问题,那就是:if (cnt == `END_CNT)reg [7:0] cnt; always @(posedge这意味着我
在
赋值块之前定义了reg,并且我可以
在
定义之前使用变量。这是我
的
编码风格,
在
Quartus中可以很好地工作。但是当我
在
ModelSim
中编译文件
时
,会出现一个“变量未定义”
的</
浏览 0
提问于2014-01-08
得票数 2
1
回答
ModelSim
编译成功,但
代码
中有错误
的
声明
、
、
我是
modelSim
和
verilog
的
初学者在下面的部分中,我有一个不正确
的
Half_ (因为我
的
模块名是Half_Adder),但是
modelsim
编译它
时
没有错误xor (s,a,b);endmodule module
浏览 1
提问于2019-04-04
得票数 0
回答已采纳
1
回答
在
尝试将
verilog
代码
从
modelsim
转换到quartus
时
,我遇到了很多错误
、
、
、
大家好,我
在
modelsim
中用很多
verilog
文件构建了一个简单
的
设计。我正在尝试获得RTL示意图,所以我想将我
的
项目转移到quartus。但是我得到了很多错误,甚至我
的
代码
在
modelsim
上
运行
正常。1) begin endendmodule 我得到了这个错误(10644
浏览 7
提问于2020-11-06
得票数 0
1
回答
使用
Modelsim
的
Do文件包括
Verilog
头文件
、
、
、
、
在给我
的
system文件中,是
Verilog
头文件(.vh)
的
include。当我
在
Modelsim
中手动
运行
模拟
时
,我通常会进入文件
的
属性("
Verilog
& SystemVerilog“选项卡),并包含保存头文件
的
目录。我将如何在我试图创建
的
.do文件中引用它?
浏览 7
提问于2015-08-20
得票数 1
回答已采纳
1
回答
Altera错误地说
Modelsim
没有安装
、
、
在
Fedora 22 64位中用
Modelsim
安装Quartus 13.0。
运行
夸特斯32位,因为我有很多很多问题,否则。然后,当单击启动
Modelsim
的
按钮
时
,它将开始执行它
的
任务,但最终会以 这根本不是事实。
浏览 4
提问于2015-09-14
得票数 9
1
回答
在
Modelsim
中模拟
时
意外退出
我使用
Modelsim
10.4a来模拟
verilog
代码
,我有tb文件来测试我
的
另一个.v文件。这两个文件都编译成功,但是当我试图
运行
-all来查看wave
时
,它给了我一条消息:有什么线索让我知道是什么原因造成
的
。我
在
谷歌
的
项目文件和解决方案中没有看到任何成绩单。谢谢。
浏览 2
提问于2019-02-24
得票数 0
3
回答
Verilog
中
的
全局常量
我想创建一个所有模块都能看到
的
全局常量。我尝试过
在
顶部模块中声明变量
的
不同方法。然而,其他模块无法识别它。
在
我
的
顶部模块中,我有以下内容:当我
在
Xilinx中
时
,我会将
MODELSIM
设置为0。当我
在
Modelsim
中
时
,我会将其设置为1。在其他文件中
的
其他模块中,我将拥有以下内容: if(
MODELSIM</e
浏览 8
提问于2011-05-15
得票数 1
1
回答
Modelsim
优化问题
、
、
当我试图使用
Modelsim
模拟器v10.2c
在
优化模式下
运行
以下
verilog
代码
片段
时
,我遇到了问题。always @ * force dut.rtl_module.abc_reg = xyz;PS:我正在使用-O5优化级
浏览 1
提问于2014-06-12
得票数 0
回答已采纳
8
回答
将
modelsim
编辑器重置为默认编辑器
、
我想在
Modelsim
中将我
的
编辑器重置为默认
的
编辑器,但我不知道怎么做。 当我双击一个项目
时
,它会在Notepad中打开。我试图从“editor”选项卡中更改Edit Preferences变量
的
值,但它没有工作。我还尝试更改windows设置以使用
Modelsim
.exe打开它,它只对第一个项目有效,但当我想打开另一个项目
时
,它会进入一个循环,在那里它会一次又一次地打开
Modelsim
。怎样才是正确
的
方法呢?
浏览 8
提问于2015-07-11
得票数 3
回答已采纳
2
回答
如何在系统
verilog
中声明动态数组
、
我试图
在
SystemVerilog源
代码
中声明一个动态数组,但得到
的
错误如下: module sv1; 什么是可能
的
问题?
浏览 1
提问于2015-04-16
得票数 0
1
回答
如何用
ModelSim
自动模拟顶层VHDL实体?
、
在
调用vsim命令
时
,如何使
ModelSim
自动使用顶级VHDL实体(或多个实体)?我正在编写一个
运行
VHDL模拟
的
通用脚本。我正在执行以下操作来编译和模拟:vsim -c -do "onElabError resume; run -all; exit" MY_TB 如何使
ModelSim
在
不显式指定
的
情况下自动模拟MY_TB。使用
Verilog
可以自动
浏览 4
提问于2013-12-14
得票数 1
1
回答
无法获得用于GTKwaves
的
火箭芯片波形
、
我想在火箭核
上
运行
一个程序,并观察GTKwave中相应寄存器中
的
所有信号(例如PC、寄存器文件、ALU寄存器和线路等)。然而,我唯一得到
的
(
在
芯片和火箭芯片)是一些
奇怪
的
电线
在
GTKwave,我不能联系到核心/瓷砖。 我按照上关于安装
的
说明进行操作。对于每个文件,都有相应
的
*.out文件和所有已执行
的
指令,所以我天真地认为我可以
运行
任何这样生成
的
*.vc
浏览 14
提问于2022-04-01
得票数 0
1
回答
vlog-7错误。在读取模式下打开设计单元文件失败
我试图使用英特尔提供
的
tcl脚本
运行
IntelFPGA
的
示例设计。它报告了在读模式下打开单元文件"blabla“
的
错误(vlog-7)。没有这样
的
文件或目录(errno = ENOENT)。然后我尝试了我以前使用过
的
一个
modelsim
项目,它曾经工作过。我得到了同样
的
错误!有什么问题吗?
在
tb_run.tcl开始
时
(从命令行
MODELSIM
> VHDL 2008 do tb_run
浏览 1
提问于2019-06-25
得票数 1
7
回答
verilog
modelsim
fpga
、
、
很抱歉问你这个纽比斯
的
问题。
在
我花1000美元买一块FPGA板之前:谢谢!
浏览 1
提问于2010-02-18
得票数 4
点击加载更多
相关
资讯
SAST weekly verilog HDL简介
ASIC设计学习总结之工具及书籍文档
一份详细的初学者学习路线奉上
浅谈FPGA
Verilog编程的要点
热门
标签
更多标签
云服务器
ICP备案
即时通信 IM
云直播
实时音视频
活动推荐
运营活动
广告
关闭
领券