腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
2
回答
在
Verilog
中
使用
生成
循环
的
浮动
输入
端口
我有一个这样
的
代码:generate dut dut_inst ( )endgenerate A和B都是名为dut
的
模块
的
输入
。我想确保
在
i==0时
输入
A未连接。如何在代码
中
移植映射此场景?
浏览 29
提问于2018-09-09
得票数 0
1
回答
Chisel:将单独
的
输入
和输出
端口
映射到inout引脚
我正在从Chisel 3源代码
生成
Verilog
,并
使用
UCF文件将
Verilog
的
顶级模块
端口
映射到FPGA引脚。我
的
设计中有一组inout引脚(SDRAM数据引脚),它们
在
凿线侧必须表示为单独
的
输入
和输出
端口
。问题是,我不能(AFAIK)然后将
Verilog
输入
端口
和输出
端口
映射到同一个FPGA引脚(如果我直接编写
V
浏览 3
提问于2016-12-05
得票数 4
2
回答
在
verilog
中
的
整数
输入
端口
类似于vhdl?
、
、
我
使用
vhdl
中
的
整数
输入
和输出构造了我
的
代码。现在,我想在
verilog
中
构造相同
的
代码。但是我知道
verilog
中
的
输入
端口
不能是整数类型
的
。我们能做些什么。我更喜欢一个可合成
的
答案。
浏览 3
提问于2016-04-16
得票数 2
回答已采纳
1
回答
在
新类中
使用
现有Scala类[Scala Chisel]
、
、
、
这可能是一个非常基本
的
问题,但对于我
的
生活,我找不到答案。delay = 2 io.out := ShiftRegister(dand, delay)我需要将它包含在这个Class
中
,而不是一个常规
的
“和” val io = IO(new Bundle{ val a = Input(UInt
浏览 0
提问于2021-05-13
得票数 3
回答已采纳
2
回答
具有数组实例
的
SystemVerilog数据流建模环加法器
、
我实现了一个(工作
的
)纹波进位加法器,
使用
生成
来创建16个不同
的
full_adder实例( full_adder按预期工作): module ripple_adder16 (a, b, cin, sum“错误是分配给
输入
信号
的
。这几乎肯定是一个错误,尽管技术上是合法
的
”)。
在
assign adders[0].cin = cin;和assign adders[i+1].cin = adders[i].cout;
的
线路上。这个错误对我来说
浏览 5
提问于2021-12-03
得票数 0
回答已采纳
1
回答
为什么这一行会出现错误:期望左括号( '(‘) [12.1.2][7.1(IEEE)]?
、
、
、
我有一个用于简单乘法器
的
Verilog
代码,如下所示,它需要两个32b
输入
,它们被分成两个(16-b MSB和16-bLSB)并乘以:begin W1_reg <= W1;end 代码
的
测试平台如下: 注意:
输入
是从两个具有32-b值
的
外部文本文件<e
浏览 53
提问于2022-04-20
得票数 -1
1
回答
什么是写10位解码器
的
好方法?
对于4位解码器,它是相对简单
的
,如下所示。然而,对于10位,有没有比写1000行代码更聪明
的
方法呢?
浏览 8
提问于2019-10-02
得票数 0
1
回答
Verilog
数组赋值
、
clock;assign result= a[0]+a[1]+a[2]+a[3];我试图
在
Verilog
中
执行数组声明,但它显示了一个错误: 带有未打包数组
的
函数参数需要systemverilog扩展。我
的
阵列怎么了?
浏览 0
提问于2016-05-16
得票数 2
1
回答
从现有的总线
中
形成母线
的
正交组(而不是行
的
总线,列
的
总线)
我有这样
的
输入
进入一个模块: input wire [31:0] row1_Q, input wire [31:0] row31_Q assign col31 = {row31[31], row30[31], ... row1[31], row0[31]}
浏览 3
提问于2015-08-26
得票数 1
回答已采纳
2
回答
如何在SystemVerilog中将变量值传递给宏?
、
我认为这个问题很好地总结了我想要
的
东西:将变量
的
值传递给SystemVerilog
中
的
宏。abc_0_def = 4'b0000;abc_2_def = 4'b0000;现在,我编写
的
代码有一个问题``_def = 4'b0000 for (int
浏览 0
提问于2013-08-02
得票数 4
1
回答
使用
ANTLR语法
的
Verilog
解析
、
、
、
、
我正在尝试用Java (
使用
Netbeans)创建一个解析器,它可以接受任何
Verilog
文件作为
输入
,并
生成
一个包含gates
的
网表作为输出。网表不需要优化。我有一个ANTLR语法文件 111: out = 1; endcaseendmodule 我想确定
输入
和输出
端口
浏览 1
提问于2013-12-11
得票数 1
1
回答
如何简化教学
中
的
复合作业
、
我试图让yosys将我
的
设计合成为结构化
verilog
,因为这个工具不理解语法{A, B},可以指定值A和B之间
的
连接。因此,例如,当yosys
生成
如下语句时assign {D,E} = F;assign {A, B} = {C, D} 我终于能够让这些任务通过额外
的
op
浏览 2
提问于2015-11-26
得票数 3
回答已采纳
2
回答
VHDL整数信号连接
Verilog
整数
输入
的
误差
、
、
我试图连接VHDL模块
的
输出整数
端口
到信号。这个信号将连接其他模块。(这个模块用
Verilog
写)。但我遇到了这个 我还必须说,我可以
生成
比特流。没有问题。
浏览 9
提问于2019-09-23
得票数 0
回答已采纳
1
回答
在
veriloga
中
创建实例矩阵
有没有可能在veriloga
中
创建一个带有两个for
循环
的
memristormodule
的
跨栏数组?
浏览 25
提问于2021-07-14
得票数 0
3
回答
在
verilog
中
创建2-D网络数组
、
我应该如何声明一个二维Net数组以及如何
使用
它?module bin(a);endmodule
浏览 0
提问于2016-10-18
得票数 0
2
回答
如何在python
中
解决这个正则表达式问题
、
、
、
我正在尝试
生成
一个python脚本,它应该逐行读取
verilog
代码,当它遇到'input some_name‘时;它应该匹配行和返回名,以便我可以计算我
在
verilog
代码
中
定义
的
所有
输入
端口
(
verilog
所以
verilog
代码是这样
的
`ifdef USE_GOOD_PIN , vb, vc, vd, vg ..... some mo
浏览 9
提问于2018-11-26
得票数 0
1
回答
Verilog
模块或VHDL实体
中
的
最大
端口
数
、
、
出于好奇,是否对
Verilog
模块或VHDL实体
中
的
最大
端口
数有限制?
浏览 0
提问于2020-01-21
得票数 1
1
回答
将VHDL翻译成
Verilog
、
、
我很难把VHDL翻译成
Verilog
。这是我VHDL源代码
的
一部分。我对I/O有一定
的
理解,但在翻译这个字符串时有一些问题。
浏览 1
提问于2014-12-16
得票数 0
回答已采纳
2
回答
verilog
中
的
Reg数据类型
我对
verilog
中
的
Reg数据类型有很大
的
困惑。我知道当我们需要在这个变量
中
存储一个值时,我们
使用
reg变量。例如,假设我们有4x1 MUX,我看到一些代码将
输入
创建为input b input doutput reg z我还可以
使用</em
浏览 0
提问于2020-05-01
得票数 0
1
回答
没有关于Questa港口和外派
的
警告10.7b
、
、
我有一个简单
的
代码: input a, ); assign b=0; 正如您所看到
的
,
输入
了一个,它被赋值了,这是错误
的
。但是没有显示警告;我
的
compile.do脚本:vlib -type directory $work vlog -work $work +acc ..如果我做了assign a=b; (也应该是错误,因为b是输出
的<
浏览 0
提问于2019-04-20
得票数 0
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
在Excel中实现基于输入值的动态数列生成
使用 Python 在 JMeter 中实现循环获取返回值的高效性能测试
spinalHDL生成verilog时对相关的元素剪裁控制
Verilog编程的要点
【例说】Verilog HDL 编译器指令,你见过几个?
热门
标签
更多标签
云服务器
ICP备案
云直播
对象存储
腾讯会议
活动推荐
运营活动
广告
关闭
领券