腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
使用
yosys
简化
组合
逻辑
我想知道是否有可能
使用
Yosys
来
简化
逻辑
方程。key[31:0]; endmodule 当我
使用
命令我尝试
使用
"opt“和"freduce”命令,但它并没有减少等式。
浏览 38
提问于2019-06-11
得票数 0
回答已采纳
1
回答
ASIC合成时序分析报告
、
在获取预定位方面存在一些问题&用于ASIC综合的路由时序分析报告将当前网络转换为AIG(具有两个
逻辑
门的图和/或)22.1当我
使用
这个命令时,我会得到一些错误:module combinational(a, b, sel, out); 2
浏览 4
提问于2016-03-05
得票数 0
1
回答
SIPO (串行输入并行输出) FSM综合问题
、
我想用Verilog编写一个从串行到并行的转换,但是我不知道我的代码有什么问题。它没有合成,甚至ISE也没有说明问题出在哪里。有谁可以帮我?if (STATE == TRANSMIT)对我来说是错的,但我不知道该改变什么或测试什么。 input SER_IN, input CLK, output reg READY, outp
浏览 1
提问于2020-06-18
得票数 1
3
回答
很难让
YOSYS
推断块ram数组(而不是
使用
逻辑
单元) verilog ice40
、
、
、
在最近的一段时间里,我遇到了一些麻烦,因为这个项目经常
使用
查找表数组,并让你把它们作为块块来推断。
Yosys
一直认为我的数组应该
使用
逻辑
单元来实现。示例:推断为IceStorm LC,从而迅速溢出我的
逻辑
单元格预算。ICESTORM_PLL: 2/ 2 100%我读过数组需要有一个注册
浏览 8
提问于2020-07-02
得票数 0
1
回答
在
YOSYS
内部运行ABC返回“警告:检测到1个多输出门”
、
当
使用
YOSYS
时,我调用abc命令:abc -liberty mycells3.lib } 运行时,我收到以下警告:ABC: Warning: Detected 1 multi-output gates (for example, "FAX1"),甚至我的模块都没有
使用
此单元格我的问题是如何使
YOSYS
接口到ABC接受多个输出单元格?我知道这是可能的,
浏览 1
提问于2016-12-08
得票数 0
1
回答
如何在verilog中将LUT解包成
逻辑
单元
、
我想把这个verilog解包,这样我就会有相同的功能,但不是LUTS --我会有
逻辑
单元(如Or/And/Xor等)。
Yosys
有内置的东西吗?
浏览 11
提问于2020-05-20
得票数 0
回答已采纳
2
回答
要瞄准新的设备需要什么?
从高层次的角度来看,用
Yosys
瞄准一个新设备需要什么?我想瞄准Xilinx XC9572XL。我有一个这样的开发板:。Xilinx文档很好地涵盖了这个CPLD的体系结构。我想我需要做以下几件事: 看上去很有可能。最难的是建立一个“更适合”的工具。
浏览 5
提问于2015-08-26
得票数 5
回答已采纳
1
回答
简化
/
组合
if语句
逻辑
(当
使用
数字时)
、
我需要
使用
复选框来设置input.value。这个值将在Web的其他地方获得,因此需要input.value。 <input name="adjvar" class="pnvar&q
浏览 2
提问于2016-10-06
得票数 0
1
回答
用
Yosys
生成TIE细胞?
、
我正在
使用
来综合我的RTL设计,它包括两个文字常量,例如绑定输出端口,如下代码所示: input a, output c,output d); assign d = 1'b1;在这种情况下,输出d显然总是符合
逻辑
的。我
使用
的流程包括将
组合
逻辑
映射到库提供的标准单元格的abc -liberty my_stdcells.lib调用,然后是
浏览 6
提问于2015-10-25
得票数 4
回答已采纳
1
回答
使用
Latex和CircuitTikZ绘制
yosys
的原理图,并将其转换为PDF?
使用
逻辑
门符号绘制电路图,就像传统的
逻辑
门级网表一样。我看到了以下内容:一种拥有
逻辑
门、符号和D型触发器的PDF视图的方法将会非常有用。
浏览 32
提问于2020-03-09
得票数 1
2
回答
如何在
yosys
合成过程中提供xilinx原语的映射信息
、
我是
Yosys
的新手,我正在尝试
使用
xilinx原语来合成一个设计。我想知道我们是否可以
使用
xilinx原语来合成一个设计。如果是,那么我们如何在合成过程中提供这些xilinx原语的映射信息。
浏览 5
提问于2021-04-14
得票数 0
1
回答
为什么SB_LUT4和SB_DFF没有被nextpnr打包?
我添加了
yosys
标记,尽管这个问题可能更多的是关于nextpnr (这个站点上没有标记)。 我在下面的文件中
使用
yosys
和nextpnr-ice40。当我用--post-route /path/to/nextpnr/python/dump_design.py转储设计时(我不关心图形用户界面),它似乎为DFF和LUT4
使用
了单独的
逻辑
单元,而我希望它
使用
逻辑
单元的内置DFF将它们合并成一个
逻辑
单元。在
浏览 10
提问于2022-05-02
得票数 0
2
回答
简化
K
组合
逻辑
表达式
、
、
、
背景在我以前的挑战中,我提到了K的停止问题是平凡的;它总是终止的,而且我们总是可以找到K表达式的正常形式。挑战 给出一个K
组合
逻辑
表达式,将其
简化
为正规形式。对于这一挑战,表达式将输入/输出为字符串(字符或字符的列表也可接受),
使用</e
浏览 0
提问于2021-06-09
得票数 14
2
回答
错误检测到
Yosys
逻辑
循环
我一直在测试一些用例的
yosys
。版本:
Yosys
0.7+200 (git sha1 155a80d,gcc-6.3 6.3.0 -fPIC -Os)module gray2bin但是,当我在
yosys
中运行下面的命令时:sccFound an SCC: $xor$gray2bin.v:11$1 Found
浏览 16
提问于2017-06-29
得票数 0
回答已采纳
1
回答
Yosys
的ConstEval API是如何工作的?
我试图写一个插件,需要评估
组合
电路。据我所知,ConstEval就是这样做的工具。然而,API对我来说并不那么清楚。是否有某个地方的ConstEval成员和他们在做什么? ()。
浏览 2
提问于2015-08-19
得票数 1
回答已采纳
1
回答
Yosys
:异步复位的多重边缘灵敏度
、
、
、
、
rst) begin end else begin end当我
使用
ice40合成
yosys
时,我得到以下错误:ERROR: Multiple edge sensitive events found for this signal 如果有帮助,前面的
yosys
输出行建议在合成过程中将此我见过几个例子,其中包括异步重置在他们的始终块,所以我很好奇,如果有人能教我在我的情况下
浏览 5
提问于2022-06-03
得票数 2
回答已采纳
1
回答
Verilog代码运行在模拟中,正如我所预测的,但在FPGA中没有运行。
、
、
、
、
我用示波器看了Tx引脚,我只看到了
逻辑
1,我无法检测到问题。我做错了什么?
浏览 2
提问于2014-06-30
得票数 1
回答已采纳
1
回答
多位门
我想知道如何对多位输入
使用
AND、OR和其他门。
浏览 12
提问于2020-05-04
得票数 0
回答已采纳
1
回答
修改
逻辑
网络
、
、
、
我们得到一个
逻辑
网络,并被告知门3发生故障,并在其输出F1处产生
逻辑
值1,而不管输入是什么。然后,我们被告知重新绘制网络,尽可能地进行
简化
,以获得一个与故障网络等效的新网络,并包含尽可能少的门。然后,我们被告知重复这个问题,假设故障位于位置F2,该位置停留在
逻辑
值0。 我对此感到困惑,因为我已经在x1和x2中输入了值,并得到了3/4的
组合
,这就是他们所说的1。我想我的问题是我看不到如何
简化
这一点。我是电路和绘制
逻辑
网络的新手。
浏览 2
提问于2016-01-22
得票数 0
1
回答
如何在GLCD上显示印度语种
、
、
、
、
即使存在
组合
字符(例如क+्+त=क्त),它也会显示Unicode流的字形。在这里,它显示क्त而不是क्त。我对此做了一些阅读,发现每种字体都
使用
一个字符映射( cmap表)来映射字符编码(例如Unicode)与字形。我试着用C语言为devanagari写了一个cmap表,但它是一个很大的列表。这里有没有什么
逻辑
可以
简化
我的cmap表,或者
简化
我将unicodes映射到字形的目标?
浏览 2
提问于2016-12-07
得票数 2
点击加载更多
热门
标签
更多标签
云服务器
ICP备案
实时音视频
对象存储
即时通信 IM
活动推荐
运营活动
广告
关闭
领券