腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
使用
Xilinx7
系列
和
verilog
中
的
CARRY4
实现
一个
4位
加法器
、
、
我正在尝试
使用
verilog
的
7
系列
中
的
CARRY4
原语来制作
一个
4位
加法器
。assign gen = a & b_sub; assign c_out = carry[3];
CARRY4
), .CYINIT(c_in), .DI(ge
浏览 128
提问于2021-10-15
得票数 0
2
回答
为什么算术
Verilog
书籍
使用
盖茨逻辑来执行操作,而不是
使用
"+“、"-”、“*”等等?
、
、
我对
Verilog
和
VHDL很陌生。我在读卡瓦纳格
的
“
Verilog
”里
的
算术书。他们解释如何做加法,除数等,他们采取编程方法
的
“盖茨”,他们<e
浏览 5
提问于2016-01-15
得票数 1
回答已采纳
1
回答
加法器
的
低功耗设计
我必须
实现
一个
串行执行A+B+C+D
的
电路。问题是,为了使设计功耗低。我必须关闭另外两个不在
使用
中
的
加法器
。我所能想到
的
就是启用
和
禁用信号,但这会导致延迟问题。 我如何在
veril
浏览 3
提问于2013-09-10
得票数 1
2
回答
在这种情况下,for循环是如何工作
的
?
我不确定for循环将如何帮助计算输入
中
的
1
的
数目。always @(*) for ( int i= 0 ;i< 255 ;i++ ) end 谢谢
浏览 6
提问于2022-01-09
得票数 -1
2
回答
有可能在vhdl
中
关闭时钟吗?
、
、
如何
使用
VHDL语言在VHDL语言中
使用
VTELTX7EX在特定条件下打开clk off (0)。下面是
一个
伪代码:clk off clk on (rising-edge)
浏览 3
提问于2018-04-03
得票数 1
1
回答
将FPGA上
的
DSP片从用于乘法
的
HDL代码
中
瞄准
、
、
我正在Zynq芯片上
实现
TxRx。我
的
设计是可行
的
,但我想对它进行优化。根据报告,我
的
DSP片没有被利用。我想在DSP片上做乘法运算。我刚开始讲FPGA。从我
的
Verilog
代码
中
是否有针对FPGA DSP片进行乘法运算
的
指导方针?在我写乘法
的
地方,我该怎么写函数? 致以亲切
的
问候,
浏览 2
提问于2015-03-18
得票数 0
回答已采纳
1
回答
组合电路:仅当选择线为高时输出2补码
、
、
我想设计
一个
门级组合电路来
实现
下面的逻辑。有没有可能不
使用
Adder就能做到这一点?assign out = ({4{sel}} & (~in + 1)) | ({4{~sel}} & in); 上述
verilog
代码将被
实现
为-4个反相器、1个全加器
和
1个多路复用器。其思想是将sel合并到2
的
补码逻辑
中
,并产生比
加法器
电路消耗更少数量
的
门电路。真的有可能吗?
浏览 5
提问于2017-11-12
得票数 1
2
回答
Xilinx ISE -错误
的
最大频率?
、
、
、
、
我在ISE
中
设计了
一个
简单
的
Ripple进位
加法器
,在为我
的
FPGA合成它之后,报告说“最大组合路径延迟”约为15 ns。然后,我设计了
一个
Robertson乘法器(
一个
时序电路),其中包含了我
的
RCA
的
一个
实例。报告称,“最大组合路径延迟”约为7.5ns,最大频率约为130 MHz。 我
的
问题是:这些数字对吗?该工具是否进行了某种“魔术”优化,以“加快”
加法器
内
的</em
浏览 5
提问于2017-02-08
得票数 2
3
回答
Verilog
最佳实践-递增变量
我绝对不是
Verilog
专家,我想知道是否有人知道这些方法
中
哪一种更好。如果这个问题太简单了,我很抱歉。方法A://some condition然后在顺序块
中
的
某个位置:或者方法B://some condition顺序数据块: co
浏览 2
提问于2011-11-05
得票数 6
回答已采纳
2
回答
Chisel:
Verilog
为Sint
和
UInt生成
的
代码
当
使用
SInt
和
UInt
实现
加法器
时,我得到了相同
的
Verilog
代码,请参见下面的代码。val b = SInt(INPUT, 16) }}io.out := io.a * io.b 我将为U
浏览 33
提问于2016-09-16
得票数 1
回答已采纳
2
回答
利用
verilog
中
的
循环产生
和
传播64位kogge石
加法器
的
信号
我正在写
一个
64位kogge stone
加法器
的
verilog
代码。请告诉我如何写预处理阶段
的
计算,产生
和
传播信号
的
64位输入
使用
循环在
verilog
?for(i=0;i<64;i=i+1)assign p[i]=a[i]^b[i];end这段代码是我写
的
,我在网上研究了很多,但找不到正确
的
浏览 6
提问于2018-02-03
得票数 0
1
回答
如何
实现
无符号4位乘法器
的
代码?
我试图创建
一个
4位乘子
使用
行为
Verilog
,如果可能的话,
使用
赋值语句
和
过程块。我试图复制
的
电路是这样
的
:到目前为止,我定义了子模块fouralu_adder,它是
一个
简单
的
无符号4位
加法器
.然后我编写了以下psuedo代码: ); /
浏览 3
提问于2022-10-04
得票数 -1
回答已采纳
1
回答
在YOSYS内部运行ABC返回“警告:检测到1个多输出门”
、
当
使用
YOSYS时,我调用abc命令:abc -liberty mycells3.lib } 运行时,我收到以下警告:ABC: Warning: Detected 1 multi-output gates (for example, "FAX1"),甚至我
的
模块都没有
使用
此单元格(这也可能是由于其
浏览 1
提问于2016-12-08
得票数 0
6
回答
结构
Verilog
和
行为
Verilog
之间
的
区别是什么?
正如标题中所述,结构
Verilog
和
行为
Verilog
之间
的
主要区别是什么?
浏览 4
提问于2013-03-28
得票数 9
回答已采纳
2
回答
如何
实现
输入向量以八进制表示
的
n位
加法器
?
在这个问题上我有点困惑:module addern(carryin, X, Y, S, carryout, overflow); input carryinoverflow = (X[n-1] &
浏览 1
提问于2014-02-24
得票数 0
2
回答
Verilog
模块实例化reg输入输出
在行为建模
中
实现
Verilog
代码时,我们
使用
reg作为输出。但是,当我不得不
使用
模块实例化并
使用
电线连接它时,它在
实现
时显示了
一个
错误。还有其他方法可以
使用
模块实例化来连接不同模块实例
的
输出来
实现
组合逻辑,因为将前
一个
模型
的
reg输出连接到线路是非法
的
吗?请注意,我必须应用行为建模,因此不允许赋值语句。这是
一个
将两个半
浏览 4
提问于2021-10-06
得票数 0
回答已采纳
2
回答
如何在不
使用
加法器
的
情况下
实现
一个
数
的
2补码
、
、
、
在二进制补码
中
,要求
一个
数
的
符号,通常只需对每一位求反并加1即可。例如:100 + 1 = 101 (-3)a <= std_logic_vector(unsigned(not(a)) + 1); 以这种方式,合成器
使用
N位
加法器
在不
使用
加法器
的
情况下有没有其他更有效
的
解决方案?
浏览 3
提问于2013-01-27
得票数 5
回答已采纳
1
回答
Verilog
编译器如何解释加法
我知道
Verilog
有
一个
算术加运算符。如果我正在构建
一个
加法器
,我应该自己做还是
使用
它?哪个会在我
的
处理器上表现得更好?
浏览 25
提问于2020-02-22
得票数 0
1
回答
N阶FIR滤波器所需
的
dsp片数
、
、
、
我想确定总面积
的
数字信号处理片,需要
实现
一个
N-抽头直接形式1 FIR滤波器与流水线
使用
7
系列
DSP48E1片。延迟是作为触发器
实现
的
。输入字长为15位,系数为14位。
使用
了两个管道延迟。
浏览 10
提问于2022-06-22
得票数 2
回答已采纳
2
回答
如何用小模块
实现
verilog
代码
(
Verilog
)下面是
一个
32位算术逻辑单元(ALU),请参阅幻灯片。它有两个2-1
和
一个
3-1多路复用器、
一个
32位
加法器
、
一个
32位减法器
和
一个
16位乘法器。函数表显示了该ALU对F(3位控制信号)
的
不同值执行
的
不同功能。注意ALU内部不同模块之间
的
互连。请
使用
Verilog
描述此ALU。您
的
实现
应该从A
浏览 4
提问于2020-09-17
得票数 0
点击加载更多
相关
资讯
随课实验是一种时尚
FPGA简单入门
verilog编程要素整理时刻牢记
Verilog编程的要点
数字硬件建模-Verilog篇阶段总结及SystemVerilog篇介绍
热门
标签
更多标签
云服务器
ICP备案
腾讯会议
对象存储
实时音视频
活动推荐
运营活动
广告
关闭
领券