Loading [MathJax]/jax/output/CommonHTML/config.js
前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
圈层
工具
发布
首页
学习
活动
专区
圈层
工具
MCP广场
社区首页 >专栏 >如何生成杂志级的可视化图表?

如何生成杂志级的可视化图表?

作者头像
mixlab
发布于 2022-04-11 13:53:13
发布于 2022-04-11 13:53:13
8200
举报

‍Datawrapper

官方描述 & 评价

Enrich your stories with charts,maps, and tables.

/“Datawrapper 是我最喜欢制作跨平台图表的工具——它快速、干净、优雅。”

/“Datawrapper 最大限度地减少了耗时的可视化工作。它使涉及领域数据广泛的团队能够使用数据图形来修饰故事,并且无需额外的培训开销。”

成就

每天为数百万读者提供图表和地图。

Datawrapper 帮助一些世界知名媒体、组织(如下图)用数据讲述他们的故事。

特色 & 优势

1.0代码、0设计编辑的丰富样式图库

2.简练、新闻感、专业的视觉风格

3.丰富的图表细节编辑调整功能

4.丰富的导出、嵌入、交互响应格式

5.无数量限制的免费图表创建空间

6.支持数据实时更新的嵌入式交互图表

- 样式库

由基础图表、地图、数据表格三部分组成,0代码、0设计。

基础图表

可使用19 种交互式和响应式图表。应用在金融、司法、社会问题报道等专业领域报道中

地图

可使用Choropleth map(创建地图色块 & 等值线)、Symbol map(创建地图特定大小&颜色的符号)、Locator map(创建地图标记位置)三种交互响应式地图。应用于各种新闻事件报道中的地图数据可视化

数据表格

用户可以创建查找关的信息的表格——提供自定义列排序、搜索和分页功能,以帮助读者进行表格信息搜索。

用户可在搜索栏中进行数据检索

表格应用于各个媒体报道的统计数据表格可视化制作中。

- 表格样式编辑

不同样式的表格提供了0代码与0设计的细节调整操作模块,支持表格视觉表达效果微调与多样化需求。

如在数据表格可视化调整模块中,支持用户进行行列数调整、索引功能添加选择、边框字样风格调整等功能。不同可视化样式提供不同的调整选项。

- 多样的导出、嵌入与交互响应格式

支持导出PNG、SVG、PDF 等格式;支持桌面设备、平板电脑或智能手机展示;支持嵌入ppt等工具进行数据交互式展示。

同类地图数据,多种载体 & 形式呈现。

- 可实时更新数据的交互式图表

Datawrapper 支持制作自动更新的图表和表格,无需重新发布。每次用户更新网站时,图表或表格都会从 CSV 中重新获取数据。

该图显示了不同大洲每天的 COVID-19 病例数据

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2022-03-12,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 无界社区mixlab 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
暂无评论
推荐阅读
编辑精选文章
换一批
Vivado 2018.3 report_qor_suggestions怎么用
从Vivado 2018.3开始,可以通过图形界面方式使用命令report_qor_suggestions,如图1所示。从Tcl角度而言,就是多了一个-name选项。
Lauren的FPGA
2019/10/30
1.7K0
Vivado 2019.1新特性(5):更新的report_qor_suggestions
report_qor_suggestions会分析当前设计中的关键路径,在此基础上给出优化建议。在Vivado之前的版本中,该命令会生成相应的.xdc或Tcl脚本,需要用户手动添加生成文件到当前工程中,具体可查看这篇文章(Vivado 2018.3 report_qor_suggestions怎么用)。在2019.1的版本中,report_qor_suggestions的使用方法有了重大调整。
Lauren的FPGA
2019/10/30
1.9K0
基于机器学习的资源评估
对于Vivado IP Catalog中的IP,在2022.1之前的版本中我们只有在综合之后才能看到其资源利用率。从资源评估的角度而言,信息是滞后的。Vivado 2022.1引入了基于机器学习的资源评估方法,在IP定制结束即可看到其资源使用情况。使用此功能需要首先勾选如下图所示选项。
Lauren的FPGA
2022/08/23
3690
基于机器学习的资源评估
用Tcl实现Vivado设计全流程(1)
Vivado有两种工作模式:project模式和non-project模式。这两种模式都可以借助VivadoIDE或Tcl命令来运行。相比之下,VivadoIDE给project模式提供了更多的好处,而Tcl命令使得non-project模式运行起来更简单。
Lauren的FPGA
2020/10/27
3.2K0
用Tcl实现Vivado设计全流程(1)
Vivado 2019.1新特性(3):增量综合
自增量布局布线之后,Xilinx在Vivado 2019.1版本中正式发布了增量综合。可以借助Tcl脚本完成,也可以在图形界面下完成,其流程和增量布局布线很类似。
Lauren的FPGA
2019/10/30
2K0
Vivado ECO实例教程一 增加LUT(GUI操作)
  什么是ECO?ECO 指的是Engineering Change Order,即工程变更指令。目的是为了在设计的后期,快速灵活地做小范围修改,从而尽可能的保持已经验证的功能和时序。ECO 的叫法算是从IC 设计领域继承而来,其应用在FPGA设计上尚属首次,但这种做法其实在以往的FPGA 设计上已被广泛采用。
猫叔Rex
2022/01/24
1.3K0
Vivado ECO实例教程一 增加LUT(GUI操作)
Xilinx FPGA Partial Reconfiguration 部分重配置 详细教程
  Partial Reconfiguration(部分重配置)在现在的FPGA应用中越来越常见,我们这次的教程以Project模式为例来说明部分重配置的操作过程。
猫叔Rex
2020/06/30
1.6K0
Xilinx FPGA Partial Reconfiguration 部分重配置 详细教程
深度解析ug1292(7)
布线延迟过大除了拥塞导致之外,还可能是其他因素。下图显示了降低布线延迟的另一流程(因其他因素导致布线延迟过大的处理流程)。
Lauren的FPGA
2019/10/30
1.4K0
Vivado® ML 版,让设计更智能化
赛灵思近日宣布推出 Vivado® ML 版,这是业内首个基于机器学习(ML )优化算法以及先进的面向团队协作的设计流程打造的 FPGA EDA 工具套件,可以显著节省设计时间与成本,与目前的 Vivado HLx 版本相比,Vivado ML 版将复杂设计的编译时间缩短了 5 倍,同时还提供了突破性的平均达 10% 的结果质量( QoR )提升。
碎碎思
2021/07/16
1K0
再谈Vivado编译时间
通常,综合(Synthesis)所消耗的时间比布局布线要短,但从代码风格角度而言,我们也能找到一些端倪来缩短综合所用的时间。如下图所示代码,左右两侧功能是一致的,区别在于左侧采用了for generate语句,for循环里嵌套了always模块;右侧实际上不需要generate语句,always里直接使用了for循环(注意:实际上,这里不需要for循环,只是为了说明for循环对编译时间的影响)。单独对左侧模块采用OOC综合,耗时2分钟;而右侧耗时1分钟。因此,我们在用for循环时要谨慎一些。
Lauren的FPGA
2024/05/10
1.3K0
再谈Vivado编译时间
如何缩短Vivado运行时间
在Vivado Implementation阶段,有时是有必要分析一下什么原因导致运行时间(runtime)过长,从而找到一些方法来缩短运行时间。
Lauren的FPGA
2019/10/30
4.8K0
Vivado设计锁定与增量编译(附工程)
该部分引用本公众号上一篇时序约束文章中的内容,在Quartus中采用逻辑锁定的办法来解决FPGA和外部接口的时序问题,也就是输入输出的寄存Rxd/Txd的寄存器到外部器件寄存器的时序问题。
网络交换FPGA
2019/10/29
2.5K0
Vivado设计锁定与增量编译(附工程)
如何快速进行策略扫描?
一种方法是用Tcl脚本,只针对place_design进行扫描,对扫描结果进行分析,获取其中最好的结果作为布线阶段的输入文件,然后再对route_design进行扫描。这种方式适用于Non-Project模式,同时要求用户对Tcl脚本比较熟悉。如果工程师更习惯于图形用户界面,在Project模式下工作,Vivado也提供了很好的操作方式。如下图所示,点击Design Runs窗口中的“+”。
Lauren的FPGA
2023/09/11
2670
如何快速进行策略扫描?
Vivado ML(机器学习) 2021尝鲜
1、在IP这个层面的功能的增强,主要体现在新增加了一个BDC,也就是block design container这个功能,它可以使得我们在一个block里头去实例化另外一个block;
碎碎思
2021/07/16
1.4K0
【Vivado那些事】OOC综合方式
之前有分析过Vivado中不弹出OOC综合方式窗口的解决方式,传送门《【每周一问】Vivado综合时突然OCC模式弹不出来了》,里面简单介绍了和Global方式的区别,但是没有更加深入,今天在更深入的介绍[OOC综合方式]。
碎碎思
2021/01/28
1.5K0
Vivado 2019.1新特性(1):Dashboard
关于Vivado Dashboard的功能可阅读这篇文章(Vivado 2018.3这个Gadget你用了吗)。Vivado 2019.1的Dashboard功能进一步增强。Dashboard可以是空的,通过点击Add Gadget来添加显示项目。例如:显示Impl_1的place_design之后的资源利用率。同时,每个Gadget的显示方式是可编辑的,只需点击如下图中的红色椭圆框住的标记。对于多个Gadget,可将其展开或折叠,以便于查看,只需点击如下图中的蓝色椭圆框住的标记。由此可以看出,Gadget是Dashboard的构成组件。
Lauren的FPGA
2019/10/30
1.3K0
嵌入式硬件开发学习教程——Xilinx Vivado HLS案例 (流程说明)
本文主要介绍HLS案例的使用说明,适用开发环境:Windows 7/10 64bit、Xilinx Vivado 2017.4、Xilinx Vivado HLS 2017.4、Xilinx SDK 2017.4。
创龙科技Tronlong
2021/11/11
2.1K0
嵌入式硬件开发学习教程——Xilinx Vivado HLS案例 (流程说明)
深度解析ug1292(1)
ug1292第一页的主题是初始设计检查。这一步是针对综合后或者opt_design阶段生成的dcp。尽管在Vivado下,从功能仿真到综合、布局布线、直至生成.bit文件是相对自动化的流程,但是解决时序违例仍然是一个复杂且耗时的过程。仅仅靠log信息或者布线后的时序报告往往很难定位,这是因为实现过程中的每一步(opt_design逻辑优化,place_design布局, phys_opt_design物理优化, route_design布线)都会做一些优化,这些优化可能会导致关键路径被掩盖,例如,有时发现设计中逻辑级数(Logic Level)较高的路径时序收敛了,反倒是逻辑级数较低甚至为0的路径出现时序违例。因此,采取按部就班的策略,检查每一步的结果,及时且尽早发现设计中的问题是很有必要的。
Lauren的FPGA
2019/10/30
1.5K0
拥塞基本概念知多少
拥塞是一个复杂的问题,导致拥塞的因素也很多。在分析拥塞问题时,首先要生成设计拥塞报告。生成方法:
Lauren的FPGA
2019/10/30
2.6K0
Vivado IP Integrator中实现DFX(Dynamic Function eXchange)的快速入门
视频教程 https://www.xilinx.com/video/hardware/block-design-containers-for-dfx.html
hankfu
2022/05/09
9470
相关推荐
Vivado 2018.3 report_qor_suggestions怎么用
更多 >
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档