腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
systemverilog
中
的
wave
中
的
危险
、
在使用有限状态机和32x32乘法器执行指数运算(a^b)
的
模块上运行测试工作台时,我在有限状态机输出
的
波形(update_counter、busy、update_prod)上遇到了奇怪
的
危险
。为什么会发生这种
危险
? 乘法器模块经过测试,工作正常,
危险
与FSM
危险
密切相关: ?
浏览 18
提问于2021-01-05
得票数 0
1
回答
如何在运行于Synopsys DVE下
的
Tcl脚本
中
打印(放入日志
中
)信号值?
、
、
在运行模拟之后,我需要从
SystemVerilog
数据结构中提取一些值。我希望在不更改
SystemVerilog
代码
的
情况下做到这一点。下面是我在Synopsys DVE环境中使用
的
TCL脚本示例,用于将特定
的
SystemVerilog
信号添加到DVE波形
中
。我需要写同样
的
东西,但是我需要使用TCL“but”(它
的
工作方式类似于C printf或Verilog $display)来打印信号值,而不是向波形
中
添加信号:
浏览 32
提问于2018-08-22
得票数 0
1
回答
从
SystemVerilog
测试平台调用questa sim命令
、
、
、
我想在我
的
SystemVerilog
测试台上调用questa sim命令,比如add
wave
,add list,write listadd
wave
main_pane.list.interior.cs.body /home/simulation/top/example.lst 但是当我从系统verilog时,上面的操作就不起作用了,我必须从工具
中
手动完成或者我可以从我
的
系统v
浏览 3
提问于2016-06-20
得票数 1
1
回答
一波又一波
的
敌人Unity3D
、
我正在开发一款游戏,在这款游戏中,我想要产生一波又一波
的
敌人。在每一波敌人中,我从不同
的
产卵点产卵。例如,我有6个产卵点,第一波敌人从第四个点产生,第二波从第一个点产生,依此类推。我写了一个代码,让我产生一波又一波
的
敌人,但我
的
问题是,它会从第一波敌人
的
所有6个产卵点产生敌人
的
浪潮。 当我点击play时,我有来自6个产卵点
的
敌人波,而不是每个波
的
一个产卵点。
浏览 1
提问于2014-12-09
得票数 0
1
回答
在SimVision代码
中
设置
SystemVerilog
探针
我试图用irun探测
systemverilog
信号。当我在googling上搜索
的
时候,我遇到了一个例子,将
wave
转储为下面的内容。initial begin en
浏览 3
提问于2017-12-01
得票数 0
回答已采纳
2
回答
支持Verilog和
SystemVerilog
在约西
的
手册里我读过 -sv2005 -sv2009 -sv2012C.113 read_verilog -从Verilog文件读取模块 这里有简明扼要<
浏览 4
提问于2019-12-19
得票数 1
1
回答
VIM
中
的
自动完备系统VIM
、
、
、
、
我使用VIM作为
SystemVerilog
的
编辑器。我有三个问题。1.如何在VIM
中
启用
Systemverilog
文件
的
自动完成功能??2.如何自动缩进VIM中选定
的
部分?我使用在VIM
中
为
Systemverilog
创建
的
插件
浏览 3
提问于2014-09-16
得票数 3
回答已采纳
2
回答
如何在系统verilog
中
声明动态数组
、
我试图在
SystemVerilog
源代码
中
声明一个动态数组,但得到
的
错误如下: module sv1; 什么是可能
的
问题?
浏览 1
提问于2015-04-16
得票数 0
1
回答
Icarus不知道如何解析localparam数组?
、
、
我正在使用v10
的
Icarus,Windows8.1,并且在编译一维数组时遇到了困难,例如: 0, 21840, 43680,};localparam [1:0] B[0:5][0:2] = {什么是错
的
,我如何纠正这个荒谬
的
错误
浏览 3
提问于2017-06-02
得票数 1
回答已采纳
2
回答
Verilog
中
SystemVerilog
类型定义
的
等价物
、
在Verilog中有没有什么构造可以和
SystemVerilog
的
typedef相媲美呢 我知道在SV
中
,我可以为类型定义创建自己
的
名称,并在构建复杂
的
数组定义时使用它。我知道typedef在Verilog标准(即Verilog-1995)
中
并不存在。但有没有可能以某种方式绕过它?
浏览 0
提问于2016-02-16
得票数 0
2
回答
如何将
systemverilog
中
的
有序端口列表更改为命名端口列表?
现在我正在尝试实现
systemverilog
教程, 特别是,我指的是
SystemVerilog
的
开关教程。有人知道如何从
SystemVerilog
中
的
有序端口列表更改为命名有序端口列表吗?
浏览 7
提问于2016-02-22
得票数 1
回答已采纳
1
回答
如何提高产卵
的
速度和频率?
、
我正在使用官方统一教程
的
空间射击游戏,我在其中
的
一部分,在一定
的
延迟在波浪中产生一定数量
的
危险
的
能力被引入。 yield return new WaitForSeconds(waveWait); }我需要使用哪些命令来增加
浏览 0
提问于2015-06-17
得票数 0
1
回答
在
SystemVerilog
中
,虚拟类是否与抽象类相同?
、
、
请帮助我理解
SystemVerilog
.
中
虚拟类
的
需求 对于抽象类,我们可以在
SystemVerilog
中使用接口。这两者有什么不同?
浏览 3
提问于2016-06-07
得票数 0
回答已采纳
2
回答
如何将
systemverilog
类变量或类成员添加到波形?
、
、
、
、
在
systemverilog
模拟器(Ius/cadence、Questa/Mentor或VCS/Synopsys)
中
,您通常希望看到波形
中
的
systemverilog
类变量。有没有办法做到这一点?
浏览 5
提问于2016-10-11
得票数 0
1
回答
通过VPI调用任务或函数
、
、
我知道可以通过Verilog编程接口(VPI)更改信号和变量
的
值。也可以通过在命名事件上执行vpi_put_value(...)来触发命名事件。 是否可以调用函数或启动任务?
浏览 4
提问于2016-05-22
得票数 1
1
回答
创建C++测试平台以驱动Verilog DUT
、
、
、
我正在尝试理解如何在Verilog
中
创建一个C++测试平台来驱动对DUT
的
刺激。假设我有一个简单
的
场景:module tb_top(); is_ready = true;
浏览 3
提问于2015-10-19
得票数 0
2
回答
SystemVerilog
:虚拟模块与虚拟接口
、
我知道
SystemVerilog
允许您通过将接口声明为“虚拟”来保存对
SystemVerilog
类
中
的
接口
的
引用。总线,是否也可以将模块声明为“虚拟”,以便在
SystemVerilog
类中保存对模块
的
引用?接口
的
繁琐,只使用来自
SystemVerilog
类
的
旧
的
verilog-95风格
的
BFM?我只是认为如果你
的
DUT是用VHDL语言编写
的
,那么
浏览 0
提问于2020-02-29
得票数 0
3
回答
SystemVerilog
与verilog模块
的
接口
、
我相信
SystemVerilog
在编码
中
是一个更高层次
的
抽象。是否可以将
SystemVerilog
模块与verilog模块进行接口?在尝试集成它们时,它们有哪些方面应该牢记在心?
浏览 2
提问于2014-02-19
得票数 0
2
回答
标记栏插件
中
的
Systemverilog
支持
、
、
、
、
谁能帮我在tagbar vim插件
中
添加
systemverilog
语言支持?我试过下面的方法,但对我不起作用2) mkdir ftplugin到~/.vim并从添加
systemverilog
.vim下面是ctag
的
一些输出。
systemverilog
浏览 5
提问于2014-03-07
得票数 3
1
回答
我无法编译.sv文件(
SystemVerilog
)
、
我正在为大学学习
SystemVerilog
。我在Visual代码
中
安装了用于语法突出显示
的
扩展:
SystemVerilog
、Verilog/
SystemVerilog
/
SystemVerilog
(扩展名)。我安装了编译器Icarus并将地址插入到环境变量(PATH)
中
。result [7:0];试图运行它,但它给了我一个错误: Module end
浏览 2
提问于2021-01-30
得票数 1
回答已采纳
点击加载更多
相关
资讯
丰富的主动防御 将危险扼杀在萌芽中
为何我们正处于高估AI的危险中?
为什么mail函数在PHP中是危险的
苹果iOS 12新漏洞曝光:iPhone中的照片危险了!
iOS12新漏洞曝光:iPhone中的照片危险了
热门
标签
更多标签
云服务器
ICP备案
云直播
对象存储
即时通信 IM
活动推荐
运营活动
广告
关闭
领券