腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
EDA
游乐场
$
dumpfile
?
你好,我有问题的一些代码运行在
EDA
游乐场
。我一直收到这样的信息:“找不到*.vcd文件。EPWave将无法打开。您是否使用了'$
dumpfile
("dump.vcd");$dumpvars;'?”
浏览 46
提问于2020-05-01
得票数 0
1
回答
EDA
游乐场
EPWave $
dumpfile
、
、
、
我试图模拟我的设计在
EDA
游乐场
。我使用ModelSim (不是来自
EDA
)在本地计算机上测试了我的设计文件和testbench文件,并且成功了。然而,我试着对
EDA
游乐场
做同样的事情。你用过‘$
dumpfile
(“dump.vcd’);$dumpvars;‘’吗? 我还将链接添加到我的设计中
浏览 4
提问于2021-01-18
得票数 1
回答已采纳
1
回答
EDAplayground错误:执行中断或达到最大运行时
、
、
、
EDA
游乐场
说: forever #5 clk = ~clk;
浏览 1
提问于2019-06-12
得票数 2
1
回答
警告:只找到一片数据。您指定了有效的“从/到”时间吗?
、
我尝试使用以下方法显示波形: $dumpvars(1); 警告:只找到一片数据。您指定了有效的“从/到”时间吗?
浏览 10
提问于2022-05-24
得票数 1
回答已采纳
1
回答
如何在波形中查看SystemVerilog动态数组
、
、
、
EDA
游乐场
结果: reg [7:0] mem []; $
dumpfile
("dump.vcd");
浏览 5
提问于2020-05-28
得票数 0
回答已采纳
1
回答
Eda
操场-编译顺序
、
如果
eda
游乐场
(SV/UVM)中有许多文件,包括包等。
eda
游乐场
如何处理编译顺序...(首先编译包文件)非常感谢veru
浏览 19
提问于2018-05-11
得票数 1
1
回答
信号之间的竞争条件
、
、
、
我相信这会导致时钟和两个ctrlX信号之间的赛跑状态,但我无法在
EDA
操场上证明这一点(我知道这是我无法控制的)。我说得对吗?这里有比赛条件吗?(
EDA
游乐场
链接:) reg [3:0] dff1,dff2; regclk; $
dumpfile
("dump.vcd"); $dumpvars(0,tb_
浏览 1
提问于2017-03-22
得票数 2
回答已采纳
1
回答
Iverilog帮助组合移位乘法器
、
:0] multiplicand, output reg [63:0] product, output reg c, output reg i); i = 0; $
dumpfile
浏览 0
提问于2014-11-18
得票数 0
回答已采纳
2
回答
使用触发器的分频器的Verilog竞赛
、
、
、
、
我在
eda
操场上做了一个关于我得到的问题的基本例子。假设我有两个时钟1倍和2倍。使用触发器除法器将2x与1x分开。B是a的抽样值。
EDA
游乐场
链接: logic clk2x = 0; #5ns clk1x = !assignment for clock divider b <= a;
浏览 1
提问于2018-10-06
得票数 1
回答已采纳
1
回答
Verilog中的完全加法器
我欢迎任何关于改进这段代码的建议,尽管这些建议很小,但是在
EDA
游乐场
运行。 // Dump waves $
dumpfile
浏览 0
提问于2020-07-20
得票数 6
回答已采纳
1
回答
在verilog中进入
eda
-playground.com .com的时钟
、
、
当尝试在
EDA
Playground中显示时钟波形时,我得到错误消息“执行中断或达到最大运行时间”。如何显示波形?module test; begin $dumpvars(1);
浏览 5
提问于2014-10-31
得票数 2
1
回答
值部分传播到中间信号。
entity_A_out <= <<SIGNAL .tb.inbound_inst.entity_A_inst.entity_A_out : INBOUND_RECORD_TYPE>>; 在这里你可以在
EDA
浏览 2
提问于2020-06-23
得票数 0
回答已采纳
1
回答
防止注册表文件实现的舱口
、
、
我正在设计一个寄存器文件模块,并试图防止“推断锁存警告”。该模块允许异步读取,但允许同步写入。到目前为止,这就是我设计的。我通常知道锁存器是什么,但在这种情况下,我想不出防止闩锁的解决方案。为了使regfile不创建推断的闩锁,我将定义什么作为the语句?module register_file ( input wire rst, input wire [4:0] raddr_b, output r
浏览 2
提问于2022-09-26
得票数 0
回答已采纳
1
回答
检查变量是否在握手信号之间更改的断言有问题
我正在尝试创建一个断言属性,该属性检查16位变量num在从从属服务器收到就绪之前是否应该在有效的主变量之间进行更改。property check_num_change; @(posedge clk) (($rose(valid) , v= num) |=> num == v until_with $rose(ready));目前,这并不是预期的工作。这个断言甚至没有通过或失败。注意:工作原理是,在有效的和相应的就绪之间,num不应该改变。 如果你们中的任何一个人对此有任何想法或遇到
浏览 3
提问于2021-11-30
得票数 0
1
回答
在
EDA
游乐场
的testbench中分配不更新结果值
、
我正在使用带有Aldec Riviera模拟器的
EDA
游乐场
,我在这里有以下模块: input logiclogic [31:0] result; $
dumpfile
浏览 5
提问于2021-05-23
得票数 1
回答已采纳
2
回答
具有内部赋值延迟的阻塞语句和非阻塞语句的区别
、
、
下面两段verilog代码有什么不同?always@(in)和 always@(in)考虑到always块中没有其他行,那么输出会有什么不同吗?问题涉及幻灯片16 (请参见o5和o6输出)
浏览 0
提问于2013-08-27
得票数 3
1
回答
如何随机化一个很大内存的内容?
、
、
memory.latch[2].Q = $urandom;
EDA
游乐场
代码:
浏览 5
提问于2013-10-14
得票数 2
回答已采纳
1
回答
可以用++递增SystemVerilog结构的独立变量吗?
、
我定义了一个包含三个整数的结构,然后创建了该结构的动态数组。在后面的代码中,我想递增结构中的一些整数值: integer tc; integer fail;integer tc_count; ............然而,当我在Aldec Act
浏览 0
提问于2014-12-24
得票数 0
1
回答
在函数/任务范围中定义常量的最佳方法
、
、
stuff";
EDA
游乐场
上的示例:
浏览 3
提问于2014-01-21
得票数 1
回答已采纳
1
回答
verilog窦
、
、
所以我需要得到窦房结波形。在这种情况下,我在2pi的范围内写出了x和y轴的值。module sinus1(in,clk,res,out);input [7:0]in;always @(posedge clk)case (in)8'b00000001: out<=8'b10000011; 8'b00000010: out<=8'b100
浏览 1
提问于2014-02-03
得票数 0
回答已采纳
点击加载更多
相关
资讯
儿童游乐场的+盟优势是什么?
microbit的Swift 游乐场
WebGL开发EDA软件
让您的仓库不会成为“游乐场
EDA365:您应该了解的有关EDA软件的知识
热门
标签
更多标签
云服务器
ICP备案
实时音视频
对象存储
即时通信 IM
活动推荐
运营活动
广告
关闭
领券