首页
学习
活动
专区
圈层
工具
发布
首页
学习
活动
专区
圈层
工具
MCP广场
社区首页 >专栏 >厌倦了sv/uvm?来看看用python写验证环境

厌倦了sv/uvm?来看看用python写验证环境

作者头像
ExASIC
发布于 2022-06-21 07:56:42
发布于 2022-06-21 07:56:42
2.3K01
代码可运行
举报
文章被收录于专栏:ExASICExASIC
运行总次数:1
代码可运行

本文介绍了cocotb的安装、python tb文件的写法、用xrun仿真cocotb的脚本等,我们来看看体验如何。

一、准备

  • centos7
  • python3.6+
  • yum install python3-devel
  • pip3 install --upgrade cocotb

二、写RTL

代码语言:javascript
代码运行次数:0
运行
AI代码解释
复制
// top.sv
module top
  (
   input wire       clk,
   input wire       rst_n,
   input wire [7:0] din,
   output reg [7:0] dout
   );

  initial begin
    $fsdbDumpfile("top.fsdb");
    $fsdbDumpvars(0, top);
  end
 
  always@(posedge clk, negedge rst_n)
    if(!rst_n)
      dout <= 'd0;
    else
      dout <= din;
  
endmodule // top

三、写tb

代码语言:javascript
代码运行次数:0
运行
AI代码解释
复制
# tb.py

import cocotb
from cocotb.triggers import Timer, FallingEdge

async def gen_clk(dut):
    for cycle in range(100):
        dut.clk.value = 0
        await Timer(10, units="ns")
        dut.clk.value = 1
        await Timer(10, units="ns")

async def gen_rst(dut):
    dut.rst_n.value = 0
    await Timer(22, units="ns")
    dut.rst_n.value = 1
    print("Reset Done")

@cocotb.test()
async def tb(dut):

    await cocotb.start(gen_clk(dut))
    await cocotb.start(gen_rst(dut))

    test_data_list = range(0,50, 5)
    for test_data in test_data_list:
        await FallingEdge(dut.clk)
        dut.din.value = test_data
    
    await Timer(100, units="ns")

6~11行:定义了一个时钟,50MHz,100个周期。

13~17行:定义了一个复位信号,低电平有效。复位拉高打印“Reset Done”,方便看log。

19行:用@cocotb.test()装饰器指定了tb的顶层主函数。

22行:异步启动gen_clk

23行:异步启动gen_rst

25~28行:产生了一些测试数据,在时钟下降沿后驱动dut的din。

30行:等待100ns结束仿真

四、写仿真脚本Makefile

代码语言:javascript
代码运行次数:0
运行
AI代码解释
复制
SIM ?= xcelium
TOPLEVEL_LANG ?= verilog

VERILOG_SOURCES += ./top.sv
TOPLEVEL = top

MODULE = tb

include $(shell cocotb-config --makefiles)/Makefile.sim

设置默认仿真器为cadence xcellium,RTL语言选verilog,指定RTL顶层模块名字(就是dut的名字),testbench的名字为tb,最后include一个cocotb共用的makefile。

五、仿真和看波形

把top.sv、tb.py、Makefile放同一个目录下,敲linux命令:make。不出意外的话,仿真可以正确编译和仿真,如下图:

由于我们在RTL顶层加入了dump fsdb波形的代码,所以在log里可以看到有波形产生。280ns仿真结束,并显示“tb passed”,并打印出汇总信息。可见log还是很友好的。

用verdi打开fsdb,与预期一致:

用spinal生成了一个计数器

MyHDL,体验一下“用python设计电路”

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2022-05-20,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 ExASIC 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
暂无评论
推荐阅读
编辑精选文章
换一批
云智融合双buff,AI已开挂
在人工智能和大模型的促进下,千行万业的智能化转型愈演愈烈,而云化算力作为灵活高效、性价比极高的算力资源获取方式,正在成为各AI厂商新的算力底座。
科技云报道
2025/07/09
960
云智融合双buff,AI已开挂
专访中国信通院云大所栗蔚:ChatGPT的成功揭示了云计算作为数字世界“中枢神经”的价值
云计算入驻中国的十余年内,本质已发生了根本改变,实现了由最初的虚拟化技术向数字世界的中枢神经演变,完成了从以服务化资源交付向云原生化价值赋能的升华。
科技云报道
2023/07/24
3500
专访中国信通院云大所栗蔚:ChatGPT的成功揭示了云计算作为数字世界“中枢神经”的价值
前浪已远,中国云计算的“后浪”会流向何方?
自AWS掀起“云革命”以来,云服务在过去十余年以超乎想象的速度在全球蓬勃扩张,撑起了千亿美元级别的市场规模。
科技云报道
2022/04/15
3140
前浪已远,中国云计算的“后浪”会流向何方?
万物皆上“云”时代,看云计算十年的突破与裂变
一个时代有一个时代的基调,“Open Source is eating the world”的声音言犹在耳,一个属于云计算的时代早就不经意来临。
科技云报道
2022/04/16
4870
万物皆上“云”时代,看云计算十年的突破与裂变
云计算推动重塑与转型,游戏行业进入以技术驱动“新纪元”
中国游戏市场规模增长了5倍多,游戏企业数量更是增长约70倍,中国跃升成为世界主要的游戏消费市场和游戏生产国。
科技云报道
2022/12/08
5170
云计算推动重塑与转型,游戏行业进入以技术驱动“新纪元”
4年后,中国会成为全球最大的云计算市场吗?
如今,中国的云计算市场已经是世界第二大市场,仅次于美国。基于中国的云计算市场的快速增长,全球多家咨询机构都非常看好中国云市场的发展。
科技云报道
2022/04/15
9200
4年后,中国会成为全球最大的云计算市场吗?
亚马逊在中国的另一面
梦晨 发自 凹非寺 量子位 | 公众号 QbitAI 电商鼻祖、零售巨头亚马逊,标签该增加一个了。 从2022 Q1最新财报来看,云计算业务已成了亚马逊主要增长和盈利来源: 一季度营业收入同比增长37%到达184.4亿美元;营业利润同比增长57%为65.2亿美元;利润率35.3%,比上季度的29.8和去年同期30.8%都高出一截。 要知道,全球云计算市场上亚马逊本就是庞然大物,公有云市场占有率38.9%,超过后三名之和。 大体量还高增速,让坊间甚至出现了“增长神话”这样的提法。 △Gartner 2020
量子位
2022/06/20
4100
亚马逊在中国的另一面
中国信通院发布《云计算发展白皮书(2019年)》(附PPT解读)
导读:2019年7月2日,中国信息通信研究院(以下简称“中国信通院”)发布了《云计算发展白皮书(2019)》。这是继《云计算白皮书(2012年)》之后,中国信息通信研究院第5次发布云计算白皮书,内容涵盖云计算的产业特点、技术热点、开源现状、安全发展、行业应用、发展建议等方面。
IT阅读排行榜
2019/07/17
3.5K11
中国信通院发布《云计算发展白皮书(2019年)》(附PPT解读)
2023企业上云暨算云融合产业大会在京召开
2023年3月29-30日,由中国信息通信研究院(以下简称“中国信通院”)和中国通信标准化协会联合主办的2023企业上云暨算云融合产业大会在北京成功召开。大会以“云融万物,算启未来”为主题,发布了2022年中国云计算发展指数、中国算云算智指数,公布了最新可信算力云服务评估结果。
中国IDC圈
2023/04/03
4980
2023企业上云暨算云融合产业大会在京召开
AI·算力封锁加剧!美国拟限制中国使用亚马逊、微软云服务
华尔街日报7月4日消息,美国政府将限制中国企业使用美国厂商的云计算服务,至于目的,该网站猜测:堵住芯片管制的漏洞,打击中国先进科技。
大数据文摘
2023/08/08
5850
AI·算力封锁加剧!美国拟限制中国使用亚马逊、微软云服务
聪图云何永:坚守分布式云计算,做AI+元宇宙的算力基石 | 镁客·请讲
图 | 聪图云创始人兼CEO 何永 分布式云计算,正在开辟算力提供的新商业范式。 作者 | 来自镁客星球的王饱饱 静水流深。 镁客网注意到,在进入2022之后,过去一年里沸反盈天的“元宇宙”赛道正逐渐变得“低调”起来,告别了表面上的喧嚣,转而进入到更为踏实的“筑底”阶段。 从细分赛道上来看,无论是作为元宇宙“入口”的AR/VR硬件设备,还是作为未来元宇宙中重要服务角色的“虚拟人”应用,亦或是可视为元宇宙“雏形”的云游戏产业,都因其可被视为“底层设施”的特性而正备受资本和创业者的热捧。毕竟,底层设施的发展决定
镁客网
2022/06/09
5330
聪图云何永:坚守分布式云计算,做AI+元宇宙的算力基石 | 镁客·请讲
科技普惠潮流渐起,“开源”将带我们走向何方?
开源决定软件未来,已成为全球技术和产业创新的主导模式之一。“开源”思想的诞生,可以说是计算机发展史中极具理想主义和浪漫主义色彩的一页,是科技自由与技术极客思想的延伸。
科技云报道
2025/03/04
980
科技普惠潮流渐起,“开源”将带我们走向何方?
对话算想未来创始人赵亚雄:希望做“为中国 AI 经济而生的 AWS”
作者丨张进 编辑丨林觉民 ChatGPT爆火,引得全世界为之疯狂,恍惚中一夜之间,人人都在讨论ChatGPT,所有大佬和资本纷纷涌进大模型。 上一次如此热闹还是Web 3,不过相对前者是少部分人的自娱自乐,大模型则像魔法,引得全民为之着迷,短短 2 个月内 ChatGPT 就收获 1 亿用户,币圈甚至认为过去3个月内AI创造的价值,超过了虚拟货币历史上创造价值的总和。而创业者和投资人对大模型更狂热,更加充满信念感,坚信大模型的历史意义将不亚于电力的发明;而争夺大模型技术的领导地位,则像“研发核武器”。 “全
AI科技评论
2023/04/26
2170
对话算想未来创始人赵亚雄:希望做“为中国 AI 经济而生的 AWS”
云智一体的百度智能云,智能经济时代的增长密码
云智一体的服务,既能节省在芯片、算法等层面的硬性投入,也将降低对专业人才的依赖,限制AI规模化落地的最大阻碍正在被攻破。
Alter聊科技
2023/01/31
3830
云智一体的百度智能云,智能经济时代的增长密码
AI+PaaS,中国云计算市场迎来新“变量”?
随着企业数字化转型的逐级深入,市场需求进一步向PaaS和SaaS层进发,使之成为公有云服务市场增长的主要动力。
科技云报道
2023/12/04
3300
AI+PaaS,中国云计算市场迎来新“变量”?
算网时代新征程,移动云推出技术内核3.0、COCA计算架构
4月25日,2023移动云大会在苏州拉开帷幕。本次大会全方位展现了移动云走过“云改”三年后亮眼的成绩单。在大会上,发布全新移动云战略,启用全新品牌形象、自研核心技术等,强势开启未来新征程。 锚定“一流云商”,强势开启新三年之路 作为云计算“国家队”,一直以来移动云践行“为国建云”使命。2019年,中国移动启动“云改”。三年来,移动云成绩单亮眼,中国移动董事长杨杰表示,2022年移动云收入突破500亿元、较三年前增长25倍,发展创新力、市场竞争力、生态聚合力、品牌影响力明显提升,综合实力跃升至国内云服务商第
AI科技大本营
2023/05/08
4480
算网时代新征程,移动云推出技术内核3.0、COCA计算架构
BAT云计算“三国杀”,
在决战AI的时代,数据、算力、算法是衡量一家科技企业实力三项最为重要的参考维度,同时也是AI技术能够不断突破的基础。在2018年的云计算市场,原本由阿里云一家独大的格局因为“黑马”百度云的斜刺杀出,逐渐演变成BAT的三强混战。
用户2908108
2018/12/28
1.5K0
BAT云计算“三国杀”,
数字化时代,云智能重新定义云的未来
数字化时代,人工智能的火爆,加速了云计算市场的悸动,以AI代表的智能化技术被纳入到云服务的版图。全球范围内g歌和微软,这两个着重强调AI能力与技术的云厂商正在蚕食AWS的霸主地位;国内百度云和华为云这两家以AI为卖点的“后起之秀”上升速度也很快,而阿里云在去年11月升级为阿里云智能,百度云改名叫百度智能云,是这场变革另一角度的缩影。
孙杰
2019/12/04
8020
30亿亿次,耗资10亿!这个智算枢纽在「中国云都」开建
---- 新智元报道   编辑:桃子 【新智元导读】30亿亿次每秒,中国云都智算中心要来! 7月29日,安徽省宿州市与浪潮签署战略合作协议,共同推进淮海智算中心建设。 淮海智算中心总体投资10亿元,全面建成后智能算力性能将达30亿亿次每秒,将成为技术先进、架构开放、应用丰富、生态完善的国内领先的智算枢纽。 目前我国正处于新旧动能转换的关键时期,人工智能作为新一轮产业智能化变革的核心驱动力量,将推动数万亿元的数字经济产业升级转型,预计到2025年中国人工智能核心产业规模将超过4000亿元,带动相关产业规
新智元
2022/08/26
4310
30亿亿次,耗资10亿!这个智算枢纽在「中国云都」开建
数智化这道升维题,云计算能答好吗?
如果说蒸汽机和电力开启了人类历史上的两次工业革命,那么脱胎于互联网,又被称作“革命性计算模型”的云计算,让我们对未知的探索抱有更多期待。
科技云报道
2022/12/08
4480
数智化这道升维题,云计算能答好吗?
推荐阅读
相关推荐
云智融合双buff,AI已开挂
更多 >
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档
本文部分代码块支持一键运行,欢迎体验
本文部分代码块支持一键运行,欢迎体验